../ 3proxy-0.9.4-r0.apk 18-Sep-2023 05:37 383699 3proxy-doc-0.9.4-r0.apk 18-Sep-2023 05:37 25275 3proxy-openrc-0.9.4-r0.apk 18-Sep-2023 05:37 1472 9base-6-r1.apk 27-Jan-2022 18:56 1930059 9base-doc-6-r1.apk 27-Jan-2022 18:56 65008 9base-troff-6-r1.apk 27-Jan-2022 18:56 501410 APKINDEX.tar.gz 05-May-2024 20:23 874592 a2jmidid-9-r3.apk 01-Aug-2022 06:21 32095 a2jmidid-doc-9-r3.apk 01-Aug-2022 06:21 4286 abc-0_git20240102-r0.apk 19-Jan-2024 00:07 5476291 ace-of-penguins-1.4-r2.apk 01-Mar-2022 10:21 198396 ace-of-penguins-doc-1.4-r2.apk 01-Mar-2022 10:21 49514 acme-tiny-5.0.1-r2.apk 15-Apr-2024 21:50 8117 acme-tiny-pyc-5.0.1-r2.apk 15-Apr-2024 21:50 10047 acmetool-0.2.2-r5.apk 07-Apr-2024 00:45 4129182 acmetool-doc-0.2.2-r5.apk 07-Apr-2024 00:45 48217 actionlint-1.6.27-r2.apk 07-Apr-2024 00:45 1855648 actionlint-doc-1.6.27-r2.apk 07-Apr-2024 00:45 5177 adjtimex-1.29-r0.apk 31-Dec-2021 21:27 21054 adjtimex-doc-1.29-r0.apk 31-Dec-2021 21:27 7256 admesh-0.98.5-r0.apk 05-Dec-2022 10:14 29234 admesh-dev-0.98.5-r0.apk 05-Dec-2022 10:14 3876 admesh-doc-0.98.5-r0.apk 05-Dec-2022 10:14 23725 advancemame-3.9-r4.apk 15-Mar-2023 12:17 14128328 advancemame-data-3.9-r4.apk 15-Mar-2023 12:17 6056814 advancemame-doc-3.9-r4.apk 15-Mar-2023 12:17 382273 advancemame-menu-3.9-r4.apk 15-Mar-2023 12:17 957982 advancemame-mess-3.9-r4.apk 15-Mar-2023 12:17 4040821 advancescan-1.18-r1.apk 02-Nov-2022 08:46 280935 advancescan-doc-1.18-r1.apk 02-Nov-2022 08:46 7174 aero2solver-1.0.0-r0.apk 16-Nov-2023 13:04 23576731 aero2solver-doc-1.0.0-r0.apk 16-Nov-2023 13:04 2028 aero2solver-openrc-1.0.0-r0.apk 16-Nov-2023 13:04 1912 afetch-2.2.0-r1.apk 02-Nov-2022 08:46 9513 afetch-doc-2.2.0-r1.apk 02-Nov-2022 08:46 13638 agg-2.5-r3.apk 15-May-2023 20:51 113846 agg-dev-2.5-r3.apk 15-May-2023 20:51 205829 agrep-0.8.0-r2.apk 15-May-2023 20:51 8478 agrep-doc-0.8.0-r2.apk 15-May-2023 20:51 3943 aide-0.18.6-r0.apk 14-Mar-2024 08:34 80448 aide-doc-0.18.6-r0.apk 14-Mar-2024 08:34 13871 alarmwakeup-0.2.1-r0.apk 06-Oct-2023 06:01 7235 alarmwakeup-dbg-0.2.1-r0.apk 06-Oct-2023 06:01 17443 alarmwakeup-dev-0.2.1-r0.apk 06-Oct-2023 06:01 2352 alarmwakeup-libs-0.2.1-r0.apk 06-Oct-2023 06:01 4818 alarmwakeup-utils-0.2.1-r0.apk 06-Oct-2023 06:01 4489 alpine-lift-0.2.0-r15.apk 07-Apr-2024 00:45 3330913 alps-0_git20230807-r3.apk 07-Apr-2024 00:45 5223482 alps-openrc-0_git20230807-r3.apk 07-Apr-2024 00:45 1846 alttab-1.7.1-r0.apk 09-Jun-2023 22:20 39691 alttab-doc-1.7.1-r0.apk 09-Jun-2023 22:20 10281 amdgpu-fan-0.1.0-r4.apk 13-Apr-2024 17:05 6904 amdgpu-fan-pyc-0.1.0-r4.apk 13-Apr-2024 17:05 9630 amiitool-2-r2.apk 13-Apr-2024 17:05 6754 ampy-1.1.0-r5.apk 13-Apr-2024 17:05 15916 ampy-doc-1.1.0-r5.apk 13-Apr-2024 17:05 3249 ampy-pyc-1.1.0-r5.apk 13-Apr-2024 17:05 19834 amule-2.3.3-r13.apk 22-Apr-2024 18:58 4141236 amule-doc-2.3.3-r13.apk 22-Apr-2024 18:58 287933 amule-lang-2.3.3-r13.apk 22-Apr-2024 18:58 1643778 anarch-1.0-r1.apk 20-Aug-2022 13:32 108076 anarch-doc-1.0-r1.apk 20-Aug-2022 13:32 18411 anari-sdk-0.7.2-r0.apk 04-Nov-2023 01:43 304479 anari-sdk-dev-0.7.2-r0.apk 04-Nov-2023 01:43 59736 anari-sdk-static-0.7.2-r0.apk 04-Nov-2023 01:43 197724 android-apktool-2.9.3-r0.apk 25-Jan-2024 22:49 22992427 android-file-transfer-4.3-r0.apk 28-Dec-2023 23:12 190490 android-file-transfer-cli-4.3-r0.apk 28-Dec-2023 23:12 117607 android-file-transfer-dev-4.3-r0.apk 28-Dec-2023 23:12 1311 android-file-transfer-libs-4.3-r0.apk 28-Dec-2023 23:12 133772 angband-4.2.5-r0.apk 28-Jan-2024 00:07 23691815 ansible-bender-0.10.1-r2.apk 13-Apr-2024 17:05 36903 ansible-bender-doc-0.10.1-r2.apk 13-Apr-2024 17:05 10069 ansible-bender-pyc-0.10.1-r2.apk 13-Apr-2024 17:05 66303 ansiweather-1.19.0-r1.apk 29-Jul-2023 20:02 4566 ansiweather-doc-1.19.0-r1.apk 29-Jul-2023 20:02 2828 antibody-6.1.1-r20.apk 07-Apr-2024 00:45 1680909 apache-mod-auth-gssapi-1.6.5-r1.apk 15-May-2023 20:51 62974 apache-mod-auth-openidc-2.4.15.7-r0.apk 07-Apr-2024 22:33 197919 apache-mod-auth-openidc-doc-2.4.15.7-r0.apk 07-Apr-2024 22:33 4600 apache-mod-auth-openidc-static-2.4.15.7-r0.apk 07-Apr-2024 22:33 277840 apache2-mod-authnz-external-3.3.3-r0.apk 26-Feb-2020 22:29 8707 apache2-mod-authnz-external-doc-3.3.3-r0.apk 26-Feb-2020 22:29 10226 apache2-mod-perl-2.0.13-r0.apk 22-Oct-2023 13:00 814499 apache2-mod-perl-dbg-2.0.13-r0.apk 22-Oct-2023 13:00 83782 apache2-mod-perl-dev-2.0.13-r0.apk 22-Oct-2023 13:00 40494 apache2-mod-perl-doc-2.0.13-r0.apk 22-Oct-2023 13:00 309532 apache2-mod-realdoc-1-r1.apk 04-Mar-2019 21:49 5039 aparte-0.2.0_git20240324-r0.apk 01-Apr-2024 18:09 2451497 apk-autoupdate-0_git20210421-r0.apk 15-Jan-2022 23:12 14663 apk-autoupdate-doc-0_git20210421-r0.apk 15-Jan-2022 23:12 6962 apk-readme-0.1-r1.apk 12-Oct-2018 19:48 1252 apk-snap-3.1.1-r0.apk 22-Jan-2024 15:55 6540 apk-snap-doc-3.1.1-r0.apk 22-Jan-2024 15:55 20158 apk-tools3-3.0.0_pre2_git20240401-r0.apk 02-Apr-2024 19:48 50928 apk-tools3-dbg-3.0.0_pre2_git20240401-r0.apk 02-Apr-2024 19:48 543715 apk-tools3-dev-3.0.0_pre2_git20240401-r0.apk 02-Apr-2024 19:48 656826 apk-tools3-doc-3.0.0_pre2_git20240401-r0.apk 02-Apr-2024 19:48 33898 apk-tools3-libs-3.0.0_pre2_git20240401-r0.apk 02-Apr-2024 19:48 129223 apk-tools3-static-3.0.0_pre2_git20240401-r0.apk 02-Apr-2024 19:48 2166609 apmpkg-1.5.1-r3.apk 01-Jul-2023 05:24 1800275 apmpkg-bash-completion-1.5.1-r3.apk 01-Jul-2023 05:24 1974 apmpkg-doc-1.5.1-r3.apk 01-Jul-2023 05:24 2856 apmpkg-fish-completion-1.5.1-r3.apk 01-Jul-2023 05:24 1849 apmpkg-zsh-completion-1.5.1-r3.apk 01-Jul-2023 05:24 2236 apollo-0.2.1-r2.apk 07-Apr-2024 00:45 3709195 apollo-doc-0.2.1-r2.apk 07-Apr-2024 00:45 18702 apollo-openrc-0.2.1-r2.apk 07-Apr-2024 00:45 1582 aports-glmr-0.2-r20.apk 07-Apr-2024 00:45 2307212 apprise-1.7.6-r0.apk 15-Apr-2024 23:37 993078 apprise-doc-1.7.6-r0.apk 15-Apr-2024 23:37 4956 apprise-pyc-1.7.6-r0.apk 15-Apr-2024 23:37 721446 apt-dater-1.0.4-r3.apk 29-Apr-2023 21:47 59519 apt-dater-doc-1.0.4-r3.apk 29-Apr-2023 21:47 9878 apt-dater-lang-1.0.4-r3.apk 29-Apr-2023 21:47 13249 apt-mirror-0.5.4-r0.apk 05-Jan-2023 22:23 9404 apt-mirror-doc-0.5.4-r0.apk 05-Jan-2023 22:23 4472 aptdec-1.8.0-r0.apk 22-Feb-2023 11:32 89020 aptdec-dev-1.8.0-r0.apk 22-Feb-2023 11:32 3331 aptdec-libs-1.8.0-r0.apk 22-Feb-2023 11:32 17469 apulse-0.1.13-r1.apk 02-Nov-2022 08:46 44251 apulse-doc-0.1.13-r1.apk 02-Nov-2022 08:46 2588 aqemu-0.9.4-r3.apk 08-Apr-2023 10:53 1714617 aqemu-doc-0.9.4-r3.apk 08-Apr-2023 10:53 7432 aravis-0.8.31-r0.apk 16-Mar-2024 13:29 50506 aravis-dev-0.8.31-r0.apk 16-Mar-2024 13:29 34902 aravis-libs-0.8.31-r0.apk 16-Mar-2024 13:29 191270 aravis-viewer-0.8.31-r0.apk 16-Mar-2024 13:29 69847 aravis-viewer-lang-0.8.31-r0.apk 16-Mar-2024 13:29 16110 arc-20221218-r0.apk 07-Jan-2023 13:34 1522 arc-cinnamon-20221218-r0.apk 07-Jan-2023 13:34 69401 arc-dark-20221218-r0.apk 07-Jan-2023 13:34 1527 arc-dark-cinnamon-20221218-r0.apk 07-Jan-2023 13:34 69804 arc-dark-gnome-20221218-r0.apk 07-Jan-2023 13:34 27461 arc-dark-gtk2-20221218-r0.apk 07-Jan-2023 13:34 39053 arc-dark-gtk3-20221218-r0.apk 07-Jan-2023 13:34 95244 arc-dark-gtk4-20221218-r0.apk 07-Jan-2023 13:34 88041 arc-dark-metacity-20221218-r0.apk 07-Jan-2023 13:34 17624 arc-dark-xfwm-20221218-r0.apk 07-Jan-2023 13:34 7797 arc-darker-20221218-r0.apk 07-Jan-2023 13:34 1539 arc-darker-gtk2-20221218-r0.apk 07-Jan-2023 13:34 39164 arc-darker-gtk3-20221218-r0.apk 07-Jan-2023 13:34 126448 arc-darker-gtk4-20221218-r0.apk 07-Jan-2023 13:34 112529 arc-darker-metacity-20221218-r0.apk 07-Jan-2023 13:34 17636 arc-darker-xfwm-20221218-r0.apk 07-Jan-2023 13:34 7790 arc-gnome-20221218-r0.apk 07-Jan-2023 13:34 29059 arc-gtk2-20221218-r0.apk 07-Jan-2023 13:34 38163 arc-gtk3-20221218-r0.apk 07-Jan-2023 13:34 128628 arc-gtk4-20221218-r0.apk 07-Jan-2023 13:34 115978 arc-icon-theme-20161122-r0.apk 30-May-2021 14:22 4571311 arc-lighter-20221218-r0.apk 07-Jan-2023 13:34 1538 arc-lighter-gtk2-20221218-r0.apk 07-Jan-2023 13:34 38173 arc-lighter-gtk3-20221218-r0.apk 07-Jan-2023 13:34 127301 arc-lighter-gtk4-20221218-r0.apk 07-Jan-2023 13:34 115258 arc-lighter-metacity-20221218-r0.apk 07-Jan-2023 13:34 17434 arc-lighter-xfwm-20221218-r0.apk 07-Jan-2023 13:34 7636 arc-metacity-20221218-r0.apk 07-Jan-2023 13:34 17391 arc-theme-20221218-r0.apk 07-Jan-2023 13:34 1213 arc-xfwm-20221218-r0.apk 07-Jan-2023 13:34 7611 arcticons-icon-theme-8.7.1.0-r0.apk 16-Feb-2024 19:34 1251 arcticons-icon-theme-dark-8.7.1.0-r0.apk 16-Feb-2024 19:34 403403 arcticons-icon-theme-light-8.7.1.0-r0.apk 16-Feb-2024 19:34 403243 arj-0_git20220125-r1.apk 14-Oct-2022 16:16 135297 arj-doc-0_git20220125-r1.apk 14-Oct-2022 16:16 10711 armagetronad-0.2.9.1.1-r0.apk 12-Feb-2024 23:12 1677439 armagetronad-doc-0.2.9.1.1-r0.apk 12-Feb-2024 23:12 93902 aspell-es-1.11-r0.apk 12-May-2022 08:37 545808 asteroid-alarmclock-2.0.0-r0.apk 31-Aug-2023 09:43 30424 asteroid-btsyncd-2.0.0-r0.apk 01-Sep-2023 06:32 82873 asteroid-calculator-2.0.0-r0.apk 31-Aug-2023 09:43 16193 asteroid-calendar-2.0.0-r0.apk 31-Aug-2023 09:43 22677 asteroid-camera-2.0.0-r0.apk 31-Aug-2023 09:43 4851 asteroid-compass-2.0.0-r0.apk 31-Aug-2023 09:43 13560 asteroid-diamonds-2.0.0-r0.apk 31-Aug-2023 09:43 17849 asteroid-flashlight-2.0.0-r0.apk 31-Aug-2023 09:43 11697 asteroid-hrm-2.0.0-r0.apk 31-Aug-2023 09:43 13929 asteroid-icons-ion-2.0.0-r0.apk 01-Sep-2023 06:32 228275 asteroid-languages-0_git20230112-r0.apk 11-May-2023 09:00 6182 asteroid-launcher-2.0.0-r0.apk 31-Aug-2023 09:43 1803646 asteroid-launcher-dbg-2.0.0-r0.apk 31-Aug-2023 09:43 955333 asteroid-music-2.0.0-r0.apk 31-Aug-2023 09:43 14833 asteroid-settings-2.0.0-r0.apk 31-Aug-2023 09:43 90786 asteroid-stopwatch-2.0.0-r0.apk 31-Aug-2023 09:43 12194 asteroid-timer-2.0.0-r0.apk 31-Aug-2023 09:43 12537 asteroid-wallpapers-2.0.0-r0.apk 01-Sep-2023 06:32 5719952 asteroid-weather-2.0.0-r0.apk 31-Aug-2023 09:43 19696 atlantik-3.5.10_git20240323-r0.apk 23-Mar-2024 19:39 404665 atlantik-doc-3.5.10_git20240323-r0.apk 23-Mar-2024 19:39 80947 atlantik-lang-3.5.10_git20240323-r0.apk 23-Mar-2024 19:39 70015 atlas-0.22.0-r0.apk 04-May-2024 11:20 12523657 atlas-bash-completion-0.22.0-r0.apk 04-May-2024 11:20 4916 atlas-fish-completion-0.22.0-r0.apk 04-May-2024 11:20 4149 atlas-zsh-completion-0.22.0-r0.apk 04-May-2024 11:20 3859 atomicparsley-20221229-r1.apk 11-Feb-2023 14:02 122426 atool-0.39.0-r4.apk 02-Nov-2022 08:46 17884 atool-bash-completion-0.39.0-r4.apk 02-Nov-2022 08:46 1826 atool-doc-0.39.0-r4.apk 02-Nov-2022 08:46 9565 aufs-util-20161219-r2.apk 07-Dec-2023 15:23 209998 aufs-util-dev-20161219-r2.apk 07-Dec-2023 15:23 1251 aufs-util-doc-20161219-r2.apk 07-Dec-2023 15:23 34530 authenticator-rs-0.7.5-r0.apk 29-May-2023 21:10 2138350 authenticator-rs-lang-0.7.5-r0.apk 29-May-2023 21:10 3555 autoconf-policy-0.1-r0.apk 12-Jun-2020 07:05 5624 autorandr-1.15-r0.apk 16-Mar-2024 12:36 20846 autorandr-bash-completion-1.15-r0.apk 16-Mar-2024 12:36 2120 autorandr-doc-1.15-r0.apk 16-Mar-2024 12:36 3602 autorandr-launcher-1.15-r0.apk 16-Mar-2024 12:36 5591 autorandr-udev-1.15-r0.apk 16-Mar-2024 12:36 1496 autorestic-1.8.2-r1.apk 07-Apr-2024 00:45 3402833 autotrash-0.4.6-r1.apk 13-Apr-2024 17:05 23484 autotrash-pyc-0.4.6-r1.apk 13-Apr-2024 17:05 14178 avara-0.7.1-r0.apk 15-Mar-2023 12:17 22519727 avarice-2.14-r3.apk 21-Oct-2022 21:52 104035 avarice-doc-2.14-r3.apk 21-Oct-2022 21:52 9428 avdl-0.4.3-r1.apk 20-Aug-2022 13:32 210845 avdl-doc-0.4.3-r1.apk 20-Aug-2022 13:32 2780 avr-libc-git-0_git20240218-r4.apk 23-Feb-2024 21:55 14622439 avr-libc-git-doc-0_git20240218-r4.apk 23-Feb-2024 21:55 24357 avra-1.4.2-r0.apk 21-Aug-2023 05:36 41434 avra-dev-1.4.2-r0.apk 21-Aug-2023 05:36 260586 azorius-0.3.4-r2.apk 07-Apr-2024 00:45 4119717 azorius-doc-0.3.4-r2.apk 07-Apr-2024 00:45 9813 azorius-openrc-0.3.4-r2.apk 07-Apr-2024 00:45 2412 azote-1.12.4-r1.apk 15-Apr-2024 21:50 7978593 azote-pyc-1.12.4-r1.apk 15-Apr-2024 21:50 100140 azpainter-3.0.7-r0.apk 20-Nov-2023 15:00 952979 azpainter-doc-3.0.7-r0.apk 20-Nov-2023 15:00 42766 azure-iot-sdk-c-static-1.11.0-r0.apk 19-Oct-2023 16:18 854154 b2sum-20190729-r2.apk 02-Nov-2022 08:46 14967 b2sum-doc-20190729-r2.apk 02-Nov-2022 08:46 2532 b4-0.13.0-r0.apk 17-Feb-2024 21:16 101045 b4-doc-0.13.0-r0.apk 17-Feb-2024 21:16 7732 b4-pyc-0.13.0-r0.apk 17-Feb-2024 21:16 206863 backup-manager-0.7.15-r1.apk 02-Nov-2022 08:46 56373 baikal-0.9.5-r0.apk 20-Mar-2024 21:46 1318851 baikal_sqlite-0.9.5-r0.apk 20-Mar-2024 21:46 1399 bakelite-0.4.2-r0.apk 28-Apr-2022 16:37 41220 bananui-2.0.0-r0.apk 06-Oct-2023 06:01 58572 bananui-clock-0.1.0-r0.apk 06-Oct-2023 06:01 7573 bananui-daemons-0.1.0-r0.apk 06-Oct-2023 06:01 48586 bananui-dbg-2.0.0-r0.apk 06-Oct-2023 06:01 173067 bananui-demos-2.0.0-r0.apk 06-Oct-2023 06:01 10905 bananui-dev-2.0.0-r0.apk 06-Oct-2023 06:01 89279 bananui-shell-0.2.0-r0.apk 28-Feb-2024 00:46 111913 barman-3.10.0-r1.apk 15-Apr-2024 21:50 328818 barman-bash-completion-3.10.0-r1.apk 15-Apr-2024 21:50 1423 barman-doc-3.10.0-r1.apk 15-Apr-2024 21:50 49720 barman-pyc-3.10.0-r1.apk 15-Apr-2024 21:50 527497 barnyard2-2.1.14_git20160413-r1.apk 02-Nov-2022 08:46 132210 barnyard2-openrc-2.1.14_git20160413-r1.apk 02-Nov-2022 08:46 2560 barrier-2.4.0-r1.apk 04-Aug-2022 12:03 992239 barrier-doc-2.4.0-r1.apk 04-Aug-2022 12:03 13519 bartib-1.0.1-r1.apk 24-May-2023 07:48 386025 base64c-0.2.1-r0.apk 18-Nov-2023 17:33 4689 base64c-dev-0.2.1-r0.apk 18-Nov-2023 17:33 5536 bcg729-1.1.1-r0.apk 02-Dec-2020 08:33 35697 bcg729-dev-1.1.1-r0.apk 02-Dec-2020 08:33 3548 bchunk-1.2.2-r2.apk 02-Nov-2022 08:46 8971 bchunk-doc-1.2.2-r2.apk 02-Nov-2022 08:46 2869 bcnm-0.0.1.7-r0.apk 06-Nov-2023 21:51 17344 bcnm-dev-0.0.1.7-r0.apk 06-Nov-2023 21:51 16871 bcnm-doc-0.0.1.7-r0.apk 06-Nov-2023 21:51 11438 bdfr-2.6.2-r1.apk 15-Apr-2024 21:50 133811 beancount-language-server-1.3.4-r0.apk 05-Feb-2024 23:54 1381449 beard-0.4-r0.apk 28-Aug-2022 17:05 3218 beard-doc-0.4-r0.apk 28-Aug-2022 17:05 2535 bees-0.10-r0.apk 05-Sep-2023 12:00 605772 bees-openrc-0.10-r0.apk 05-Sep-2023 12:00 1751 belcard-5.3.38-r0.apk 13-Apr-2024 17:05 16693 belcard-dev-5.3.38-r0.apk 13-Apr-2024 17:05 12222 belcard-libs-5.3.38-r0.apk 13-Apr-2024 17:05 231401 belle-sip-5.3.38-r0.apk 13-Apr-2024 17:05 635138 belle-sip-dev-5.3.38-r0.apk 13-Apr-2024 17:05 55953 belr-5.3.38-r0.apk 13-Apr-2024 17:05 120742 belr-dev-5.3.38-r0.apk 13-Apr-2024 17:05 14991 berry-lang-1.1.0-r0.apk 12-Aug-2022 22:35 135091 bestline-0.0_git20211108-r0.apk 13-Feb-2022 08:17 22944 bestline-dev-0.0_git20211108-r0.apk 13-Feb-2022 08:17 1722 bestline-doc-0.0_git20211108-r0.apk 13-Feb-2022 08:17 18463006 bettercap-2.32.0-r20.apk 07-Apr-2024 00:45 9523597 betula-1.1.0-r2.apk 07-Apr-2024 00:45 3539114 betula-openrc-1.1.0-r2.apk 07-Apr-2024 00:45 1683 bgpq4-1.12-r0.apk 13-Feb-2024 22:39 35103 bgpq4-doc-1.12-r0.apk 13-Feb-2024 22:39 6278 bgs-0.8-r1.apk 02-Nov-2022 08:46 5724 bgs-doc-0.8-r1.apk 02-Nov-2022 08:46 2089 bindfs-1.17.6-r0.apk 03-Dec-2023 10:03 22378 bindfs-doc-1.17.6-r0.apk 03-Dec-2023 10:03 8999 binwalk-2.4.1-r0.apk 01-May-2024 09:33 150614 binwalk-pyc-2.4.1-r0.apk 01-May-2024 09:33 170056 biometryd-0.3.1-r0.apk 06-Feb-2024 23:41 333316 biometryd-dev-0.3.1-r0.apk 06-Feb-2024 23:41 14255 birdtray-1.9.0-r1.apk 02-Nov-2022 08:46 419712 bitlbee-facebook-1.2.2-r0.apk 01-Oct-2022 23:56 62126 bitlbee-mastodon-1.4.5-r0.apk 05-Jul-2022 15:19 49446 bitritter-0_git20240415-r0.apk 19-Apr-2024 04:36 2260984 blackbox-1.20220610-r0.apk 22-Dec-2022 18:05 15815 blip-0.10-r0.apk 14-Jun-2019 17:31 15664 blip-doc-0.10-r0.apk 14-Jun-2019 17:31 31182 bliss-0.77-r1.apk 01-Aug-2023 12:37 82671 bliss-dev-0.77-r1.apk 01-Aug-2023 12:37 121575 bm818-tools-0.7-r0.apk 16-Dec-2023 15:13 5350 bobcat-4.09.00-r0.apk 06-Oct-2023 07:04 726330 bobcat-dev-4.09.00-r0.apk 06-Oct-2023 07:04 729463 bobcat-doc-4.09.00-r0.apk 06-Oct-2023 07:04 353444 boinc-7.24.3-r0.apk 22-Feb-2024 01:03 1705334 boinc-dev-7.24.3-r0.apk 22-Feb-2024 01:03 661018 boinc-doc-7.24.3-r0.apk 22-Feb-2024 01:03 7921 boinc-gui-7.24.3-r0.apk 22-Feb-2024 01:03 1089909 boinc-lang-7.24.3-r0.apk 22-Feb-2024 01:03 897733 boinc-libs-7.24.3-r0.apk 22-Feb-2024 01:03 235015 boinc-screensaver-7.24.3-r0.apk 22-Feb-2024 01:03 148963 bonzomatic-20230615-r0.apk 29-Sep-2023 15:19 682959 bootinfo-0.1.0-r3.apk 15-Apr-2024 21:50 6830 bootinfo-pyc-0.1.0-r3.apk 15-Apr-2024 21:50 8200 bootloose-0.7.1-r3.apk 07-Apr-2024 00:45 2077307 bootterm-0.4-r2.apk 02-Nov-2022 08:46 20516 bootterm-dbg-0.4-r2.apk 02-Nov-2022 08:46 2021 bordeaux-0.8.1-r0.apk 28-Feb-2024 00:46 183234 bordeaux-coventry-0.8.1-r0.apk 28-Feb-2024 00:46 64974 bordeaux-dev-0.8.1-r0.apk 28-Feb-2024 00:46 43015 bordeaux-doc-0.8.1-r0.apk 28-Feb-2024 00:46 27455 bordeaux-en_voices-0.8.1-r0.apk 28-Feb-2024 00:46 703811 bordeaux-generic-0.8.1-r0.apk 28-Feb-2024 00:46 54041 bordeaux-openrc-0.8.1-r0.apk 28-Feb-2024 00:46 1913 bore-0.5.0-r1.apk 24-May-2023 07:48 573221 boson-0_git20211219-r0.apk 10-Jul-2022 18:36 18957 boxed-cpp-1.4.0-r0.apk 02-Mar-2024 15:02 1198 boxed-cpp-dev-1.4.0-r0.apk 02-Mar-2024 15:02 6253 boxed-cpp-doc-1.4.0-r0.apk 02-Mar-2024 15:02 5387 boxes-2.2.1-r0.apk 06-Sep-2023 13:12 64325 boxes-doc-2.2.1-r0.apk 06-Sep-2023 13:12 6774 brial-1.2.11-r3.apk 22-Apr-2024 18:58 1097279 brial-dev-1.2.11-r3.apk 22-Apr-2024 18:58 1764328 btcd-0.23.4-r5.apk 07-Apr-2024 00:45 14292502 btfs-2.24-r12.apk 22-Apr-2024 18:58 32908 btfs-doc-2.24-r12.apk 22-Apr-2024 18:58 2180 btpd-0.16-r2.apk 04-Aug-2022 06:40 90045 btpd-doc-0.16-r2.apk 04-Aug-2022 06:40 8597 buf-1.31.0-r0.apk 04-May-2024 11:02 10120487 buf-bash-completion-1.31.0-r0.apk 04-May-2024 11:02 7737 buf-fish-completion-1.31.0-r0.apk 04-May-2024 11:02 4136 buf-protoc-plugins-1.31.0-r0.apk 04-May-2024 11:02 8896939 buf-zsh-completion-1.31.0-r0.apk 04-May-2024 11:02 3848 buildcache-0.28.9-r0.apk 30-Jan-2024 15:17 919824 bump2version-1.0.1-r6.apk 15-Apr-2024 21:50 21083 bump2version-pyc-1.0.1-r6.apk 15-Apr-2024 21:50 29543 burp-3.1.4-r0.apk 17-Mar-2023 19:03 183082 burp-doc-3.1.4-r0.apk 17-Mar-2023 19:03 101529 burp-server-3.1.4-r0.apk 17-Mar-2023 19:03 37238 butane-0.19.0-r3.apk 07-Apr-2024 00:45 2614252 bwrap-oci-0.2-r1.apk 02-Nov-2022 08:46 16322 bwrap-oci-doc-0.2-r1.apk 02-Nov-2022 08:46 2281 cadence-0.9.2-r0.apk 09-Oct-2022 15:23 1978941 caffeine-ng-4.2.0-r1.apk 16-Apr-2024 01:33 102546 caffeine-ng-doc-4.2.0-r1.apk 16-Apr-2024 01:33 2971 caffeine-ng-lang-4.2.0-r1.apk 16-Apr-2024 01:33 34919 caps2esc-0.3.2-r0.apk 29-Jun-2023 09:30 4873 carapace-1.0.1-r0.apk 15-Apr-2024 21:50 10187928 cargo-crev-0.25.5-r0.apk 18-Dec-2023 04:01 5888883 cargo-deny-0.14.23-r0.apk 05-May-2024 05:24 5075974 cargo-generate-0.20.0-r0.apk 01-Apr-2024 19:42 2222710 cargo-machete-0.6.2-r0.apk 24-Mar-2024 16:10 1266651 cargo-machete-doc-0.6.2-r0.apk 24-Mar-2024 16:10 3784 cargo-run-bin-1.7.2-r0.apk 19-Jan-2024 02:12 493730 cargo-run-bin-doc-1.7.2-r0.apk 19-Jan-2024 02:12 4922 cargo-sort-1.0.9_git20240110-r0.apk 22-Apr-2024 18:58 455155 cargo-update-13.4.0-r0.apk 05-May-2024 05:24 1556363 cargo-update-doc-13.4.0-r0.apk 05-May-2024 05:24 7750 cargo-vendor-filterer-0.5.9-r1.apk 24-May-2023 07:48 492809 castero-0.9.5-r2.apk 24-Apr-2023 09:28 50638 castero-pyc-0.9.5-r2.apk 24-Apr-2023 09:28 100877 castor-0.9.0-r2.apk 24-May-2023 07:48 762797 catcodec-1.0.5-r2.apk 15-May-2022 13:29 13353 catcodec-doc-1.0.5-r2.apk 15-May-2022 13:29 5028 catdoc-0.95-r1.apk 02-Nov-2022 08:46 114704 catdoc-doc-0.95-r1.apk 02-Nov-2022 08:46 9204 catfish-4.18.0-r2.apk 15-Apr-2024 21:50 223657 catfish-doc-4.18.0-r2.apk 15-Apr-2024 21:50 12797 catfish-lang-4.18.0-r2.apk 15-Apr-2024 21:50 255667 catfish-pyc-4.18.0-r2.apk 15-Apr-2024 21:50 102923 cava-0.10.1-r0.apk 03-Feb-2024 17:11 42971 ccrtp-2.1.2-r0.apk 04-Jun-2022 21:01 98734 ccrtp-dev-2.1.2-r0.apk 04-Jun-2022 21:01 53978 ccrtp-doc-2.1.2-r0.apk 04-Jun-2022 21:01 32015 ccze-0.2.1-r1.apk 07-Sep-2022 21:01 83305 ccze-dev-0.2.1-r1.apk 07-Sep-2022 21:01 3404 ccze-doc-0.2.1-r1.apk 07-Sep-2022 21:01 9052 cdba-1.0-r0.apk 12-Nov-2023 13:17 8459 cdba-server-1.0-r0.apk 12-Nov-2023 13:17 23059 cddlib-0.94m-r2.apk 01-Aug-2023 12:37 221601 cddlib-dev-0.94m-r2.apk 01-Aug-2023 12:37 14164 cddlib-doc-0.94m-r2.apk 01-Aug-2023 12:37 884196 cddlib-static-0.94m-r2.apk 01-Aug-2023 12:37 313887 cddlib-tools-0.94m-r2.apk 01-Aug-2023 12:37 101534 cdist-7.0.0-r5.apk 15-Apr-2024 21:50 471443 cdist-pyc-7.0.0-r5.apk 15-Apr-2024 21:50 130382 cdogs-sdl-2.1.0-r0.apk 17-Apr-2024 13:39 35254135 certbot-dns-njalla-1.0.2-r2.apk 15-Apr-2024 21:50 9478 certigo-1.16.0-r15.apk 07-Apr-2024 00:45 3348465 certstrap-1.3.0-r15.apk 07-Apr-2024 00:45 2044944 cfssl-1.6.4-r6.apk 07-Apr-2024 00:45 30213237 cgiirc-0.5.12-r1.apk 25-Dec-2023 08:11 136912 cgo-0.6.1-r1.apk 02-Nov-2022 08:46 10641 cgo-doc-0.6.1-r1.apk 02-Nov-2022 08:46 3945 chamo-4.0-r0.apk 22-Apr-2024 06:21 7070829 chamo-byte-4.0-r0.apk 22-Apr-2024 06:21 1529602 chamo-dev-4.0-r0.apk 22-Apr-2024 06:21 4421054 charls-2.4.2-r0.apk 03-Nov-2023 02:19 70814 charls-dev-2.4.2-r0.apk 03-Nov-2023 02:19 26980 checkpolicy-3.6-r0.apk 08-Jan-2024 09:44 378243 checkpolicy-doc-3.6-r0.apk 08-Jan-2024 09:44 4002 cherrytree-1.1.2-r0.apk 09-Apr-2024 23:14 2635683 cherrytree-doc-1.1.2-r0.apk 09-Apr-2024 23:14 1940 cherrytree-lang-1.1.2-r0.apk 09-Apr-2024 23:14 831551 chiaki-2.2.0-r0.apk 26-Jan-2024 14:22 324291 chicago95-3.0.1-r0.apk 19-Jan-2024 03:34 502967 chicago95-fonts-3.0.1-r0.apk 19-Jan-2024 03:34 219735 chicago95-icons-3.0.1-r0.apk 19-Jan-2024 03:34 12258825 chocolate-doom-3.0.1-r3.apk 13-Feb-2023 14:23 1944636 chocolate-doom-doc-3.0.1-r3.apk 13-Feb-2023 14:23 124559 cilium-cli-0.15.23-r2.apk 07-Apr-2024 00:46 48709855 cilium-cli-bash-completion-0.15.23-r2.apk 07-Apr-2024 00:46 4929 cilium-cli-fish-completion-0.15.23-r2.apk 07-Apr-2024 00:46 4178 cilium-cli-zsh-completion-0.15.23-r2.apk 07-Apr-2024 00:46 3884 cimg-3.3.5-r0.apk 14-Mar-2024 08:35 844166 cinny-web-3.2.0-r0.apk 18-Jan-2024 01:23 4643937 circuslinux-1.0.3-r1.apk 05-Dec-2021 01:04 20843 circuslinux-data-1.0.3-r1.apk 05-Dec-2021 01:04 1181488 circuslinux-doc-1.0.3-r1.apk 05-Dec-2021 01:04 18402 ckb-next-0.6.0-r1.apk 19-Jul-2023 19:11 1359862 ckb-next-daemon-0.6.0-r1.apk 19-Jul-2023 19:11 72495 ckb-next-daemon-openrc-0.6.0-r1.apk 19-Jul-2023 19:11 1633 ckb-next-dev-0.6.0-r1.apk 19-Jul-2023 19:11 4875 clapper-0.6.0-r0.apk 26-Apr-2024 21:56 271578 clapper-lang-0.6.0-r0.apk 26-Apr-2024 21:56 42428 clatd-1.6-r0.apk 17-Apr-2023 23:02 12646 clementine-1.4.0_git20220324-r11.apk 22-Apr-2024 18:58 7893578 clevis-19-r0.apk 29-Jan-2023 19:28 49171 clevis-bash-completion-19-r0.apk 29-Jan-2023 19:28 1894 clevis-dbg-19-r0.apk 29-Jan-2023 19:28 52290 clevis-doc-19-r0.apk 29-Jan-2023 19:28 22424 clevis-extra-pins-0_git20230629-r0.apk 13-Feb-2024 23:32 4499 click-0.5.2-r1.apk 15-Apr-2024 21:50 168607 click-dev-0.5.2-r1.apk 15-Apr-2024 21:50 9239 click-doc-0.5.2-r1.apk 15-Apr-2024 21:50 3170 click-pyc-0.5.2-r1.apk 15-Apr-2024 21:50 182135 clinfo-3.0.23.01.25-r0.apk 10-Feb-2023 10:39 48702 clinfo-doc-3.0.23.01.25-r0.apk 10-Feb-2023 10:39 6361 cliphist-0.5.0-r2.apk 07-Apr-2024 00:46 842959 cliphist-fzf-0.5.0-r2.apk 07-Apr-2024 00:46 1576 clipit-1.4.5-r2.apk 17-Apr-2023 16:09 68786 clipit-doc-1.4.5-r2.apk 17-Apr-2023 16:09 2204 cliquer-1.22-r2.apk 01-Aug-2023 12:37 7721 cliquer-dev-1.22-r2.apk 01-Aug-2023 12:37 7334 cliquer-libs-1.22-r2.apk 01-Aug-2023 12:37 28596 cliquer-static-1.22-r2.apk 01-Aug-2023 12:37 36394 cliquer-tests-1.22-r2.apk 01-Aug-2023 12:37 24355 cln-1.3.7-r0.apk 28-Jan-2024 13:57 483861 cln-dev-1.3.7-r0.apk 28-Jan-2024 13:57 1257307 cln-doc-1.3.7-r0.apk 28-Jan-2024 13:57 79391 cloudfoundry-cli-8.7.9-r1.apk 07-Apr-2024 00:46 8608677 cluster-glue-1.0.12-r5.apk 29-Apr-2023 21:47 315410 cluster-glue-dev-1.0.12-r5.apk 29-Apr-2023 21:47 1021269 cluster-glue-doc-1.0.12-r5.apk 29-Apr-2023 21:47 33450 cluster-glue-libs-1.0.12-r5.apk 29-Apr-2023 21:47 118971 cmusfm-0.5.0-r0.apk 21-Jul-2023 21:08 15118 coccinelle-1.1.1-r2.apk 18-Apr-2024 11:10 7482833 coccinelle-bash-completion-1.1.1-r2.apk 18-Apr-2024 11:10 2657 coccinelle-doc-1.1.1-r2.apk 18-Apr-2024 11:10 16092 cocogitto-6.1.0-r0.apk 15-Mar-2024 12:15 2246497 cocogitto-bash-completion-6.1.0-r0.apk 15-Mar-2024 12:15 2921 cocogitto-doc-6.1.0-r0.apk 15-Mar-2024 12:15 35466 cocogitto-fish-completion-6.1.0-r0.apk 15-Mar-2024 12:15 3175 cocogitto-zsh-completion-6.1.0-r0.apk 15-Mar-2024 12:15 2925 codeberg-cli-0.4.0-r0.apk 02-May-2024 23:12 1999192 codeberg-cli-bash-completion-0.4.0-r0.apk 02-May-2024 23:12 4737 codeberg-cli-fish-completion-0.4.0-r0.apk 02-May-2024 23:12 4469 codeberg-cli-zsh-completion-0.4.0-r0.apk 02-May-2024 23:12 6298 coin-4.0.0-r6.apk 22-Apr-2024 18:58 3365050 coin-dev-4.0.0-r6.apk 22-Apr-2024 18:58 361786 colormake-0.9.20170221-r0.apk 06-Sep-2017 12:04 4146 colormake-doc-0.9.20170221-r0.apk 06-Sep-2017 12:04 2730 colorpicker-0_git20201128-r1.apk 02-Nov-2022 08:46 4204 comics-downloader-0.33.8-r2.apk 07-Apr-2024 00:46 3532991 comics-downloader-gui-0.33.8-r2.apk 07-Apr-2024 00:46 5381634 commoncpp-7.0.1-r1.apk 04-Aug-2022 06:40 313323 commoncpp-dev-7.0.1-r1.apk 04-Aug-2022 06:40 177180 commoncpp-doc-7.0.1-r1.apk 04-Aug-2022 06:40 15185 commoncpp-tools-7.0.1-r1.apk 04-Aug-2022 06:40 63779 compiz-0.9.14.2-r4.apk 22-Apr-2024 18:58 6659253 compiz-dev-0.9.14.2-r4.apk 22-Apr-2024 18:58 123677 compiz-lang-0.9.14.2-r4.apk 22-Apr-2024 18:58 1269522 compiz-pyc-0.9.14.2-r4.apk 22-Apr-2024 18:58 114427 compiz-utils-0.9.14.2-r4.apk 22-Apr-2024 18:58 3145 compton-conf-0.16.0-r1.apk 26-Jul-2022 07:50 59480 conduit-0.7.0-r0.apk 25-Apr-2024 08:51 6486232 conduit-openrc-0.7.0-r0.apk 25-Apr-2024 08:51 1699 conntracct-0.2.7-r23.apk 07-Apr-2024 00:46 4655230 conntracct-openrc-0.2.7-r23.apk 07-Apr-2024 00:46 1743 console_bridge-1.0.2-r0.apk 08-Nov-2022 20:59 9930 console_bridge-dev-1.0.2-r0.apk 08-Nov-2022 20:59 4573 consul-replicate-0.4.0-r23.apk 07-Apr-2024 00:46 2585583 convert2json-0.8.2-r0.apk 15-Apr-2024 21:50 2171820 copyq-8.0.0-r0.apk 22-Mar-2024 07:47 2660466 copyq-bash-completion-8.0.0-r0.apk 22-Mar-2024 07:47 2066 copyq-doc-8.0.0-r0.apk 22-Mar-2024 07:47 3304 coventry-0.8.1-r0.apk 24-Feb-2024 15:18 326807 coventry-doc-0.8.1-r0.apk 24-Feb-2024 15:18 36427 coventry-openrc-0.8.1-r0.apk 24-Feb-2024 15:18 1593 cowsay-3.04-r2.apk 02-Nov-2022 08:46 18550 cowsay-doc-3.04-r2.apk 02-Nov-2022 08:46 3827 coxeter-3.0-r1.apk 01-Aug-2023 12:37 49748 coxeter-dev-3.0-r1.apk 01-Aug-2023 12:37 57839 coxeter-libs-3.0-r1.apk 01-Aug-2023 12:37 347620 cpiped-0.1.0-r0.apk 29-Aug-2017 10:34 7220 cpplint-1.6.1_git20240320-r1.apk 15-Apr-2024 21:50 77982 cpplint-pyc-1.6.1_git20240320-r1.apk 15-Apr-2024 21:50 96457 crazydiskinfo-1.1.0-r1.apk 02-Nov-2022 08:46 35315 create-tauri-app-3.13.17-r0.apk 28-Mar-2024 20:30 585060 create-tauri-app-doc-3.13.17-r0.apk 28-Mar-2024 20:30 6119 createrepo_c-1.0.2-r1.apk 15-Apr-2024 21:50 54325 createrepo_c-bash-completion-1.0.2-r1.apk 15-Apr-2024 21:50 2704 createrepo_c-dev-1.0.2-r1.apk 15-Apr-2024 21:50 31811 createrepo_c-doc-1.0.2-r1.apk 15-Apr-2024 21:50 8559 createrepo_c-libs-1.0.2-r1.apk 15-Apr-2024 21:50 91441 cri-o-1.29.1-r2.apk 07-Apr-2024 00:46 14649860 cri-o-bash-completion-1.29.1-r2.apk 07-Apr-2024 00:46 2462 cri-o-doc-1.29.1-r2.apk 07-Apr-2024 00:46 21429 cri-o-fish-completion-1.29.1-r2.apk 07-Apr-2024 00:46 8147 cri-o-openrc-1.29.1-r2.apk 07-Apr-2024 00:46 1784 cri-o-zsh-completion-1.29.1-r2.apk 07-Apr-2024 00:46 2724 crispy-doom-6.0-r0.apk 31-Mar-2023 22:56 2027304 crispy-doom-doc-6.0-r0.apk 31-Mar-2023 22:56 87097 crossplane-0.5.8-r2.apk 15-Apr-2024 21:50 25728 crossplane-pyc-0.5.8-r2.apk 15-Apr-2024 21:50 40047 crosstool-ng-1.25.0-r0.apk 30-Dec-2022 12:27 2021794 crosstool-ng-bash-completion-1.25.0-r0.apk 30-Dec-2022 12:27 1966 crosstool-ng-doc-1.25.0-r0.apk 30-Dec-2022 12:27 34923 crowdsec-1.6.1-r0.apk 17-Apr-2024 02:55 36104444 crowdsec-email-plugin-1.6.1-r0.apk 17-Apr-2024 02:55 4216857 crowdsec-http-plugin-1.6.1-r0.apk 17-Apr-2024 02:55 4375664 crowdsec-openrc-1.6.1-r0.apk 17-Apr-2024 02:55 1585 crowdsec-slack-plugin-1.6.1-r0.apk 17-Apr-2024 02:55 4408430 crowdsec-splunk-plugin-1.6.1-r0.apk 17-Apr-2024 02:55 4371440 cscope-15.9-r1.apk 14-Oct-2022 16:16 157780 cscope-doc-15.9-r1.apk 14-Oct-2022 16:16 7671 csfml-2.5.2-r0.apk 01-Jul-2023 06:44 103718 csfml-dev-2.5.2-r0.apk 01-Jul-2023 06:44 78787 csfml-doc-2.5.2-r0.apk 01-Jul-2023 06:44 208636 csmith-2.3.0-r1.apk 02-Nov-2022 08:46 317132 csmith-doc-2.3.0-r1.apk 02-Nov-2022 08:46 2905 csol-1.6.0-r0.apk 13-Mar-2023 09:10 40914 csol-doc-1.6.0-r0.apk 13-Mar-2023 09:10 3673 ctorrent-dnh-3.3.2-r2.apk 02-Nov-2022 08:46 90256 cups-pdf-3.0.1-r2.apk 28-Mar-2024 20:30 22235 curlftpfs-0.9.2-r3.apk 02-Nov-2022 08:46 24585 curlftpfs-doc-0.9.2-r3.apk 02-Nov-2022 08:46 5996 curlpp-0.8.1-r1.apk 02-Nov-2022 08:46 30756 curlpp-dev-0.8.1-r1.apk 02-Nov-2022 08:46 69976 curtail-1.9.1-r0.apk 15-Apr-2024 21:50 25785 curtail-lang-1.9.1-r0.apk 15-Apr-2024 21:50 63254 cutechess-1.3.1-r0.apk 24-Sep-2023 18:37 1139312 cutechess-cli-1.3.1-r0.apk 24-Sep-2023 18:37 352423 cutechess-cli-doc-1.3.1-r0.apk 24-Sep-2023 18:37 6470 cutechess-doc-1.3.1-r0.apk 24-Sep-2023 18:37 3388 cvise-2.8.0-r2.apk 29-Jul-2023 20:02 6353291 cvise-pyc-2.8.0-r2.apk 29-Jul-2023 20:02 64628 cvs-fast-export-1.65-r0.apk 16-Feb-2024 19:34 50856 cvs-fast-export-doc-1.65-r0.apk 16-Feb-2024 19:34 17599 cvs-fast-export-tools-1.65-r0.apk 16-Feb-2024 19:34 8602 cyrus-sasl-xoauth2-0.2-r1.apk 15-May-2023 20:51 7255 cyrus-sasl-xoauth2-doc-0.2-r1.apk 15-May-2023 20:51 2059 cyrus-sasl-xoauth2-static-0.2-r1.apk 15-May-2023 20:51 7382 cz-viator-hourglass-black-20210706-r0.apk 07-Feb-2022 12:36 224305 daemontools-0.76-r2.apk 02-Nov-2022 08:46 111994 daktilo-0.6.0-r0.apk 11-Apr-2024 10:47 1928423 daktilo-bash-completion-0.6.0-r0.apk 11-Apr-2024 10:47 1950 daktilo-doc-0.6.0-r0.apk 11-Apr-2024 10:47 8609 daktilo-fish-completion-0.6.0-r0.apk 11-Apr-2024 10:47 1718 daktilo-zsh-completion-0.6.0-r0.apk 11-Apr-2024 10:47 2055 darts-clone-0_git20181117-r0.apk 27-Jan-2022 01:59 42979 darts-clone-dev-0_git20181117-r0.apk 27-Jan-2022 01:59 13119 dasht-2.4.0-r0.apk 24-Dec-2023 03:12 14314 dasht-doc-2.4.0-r0.apk 24-Dec-2023 03:12 11411 dasht-zsh-completion-2.4.0-r0.apk 24-Dec-2023 03:12 1869 datamash-1.8-r1.apk 17-Apr-2024 17:04 89064 datamash-bash-completion-1.8-r1.apk 17-Apr-2024 17:04 2899 datamash-doc-1.8-r1.apk 17-Apr-2024 17:04 33020 dbus-broker-35-r0.apk 04-Jan-2024 19:26 86266 dbus-broker-doc-35-r0.apk 04-Jan-2024 19:26 5750 dbus-waiter-0.2.0-r0.apk 29-Oct-2023 12:14 747860 dcmtk-3.6.8-r0.apk 13-Jan-2024 23:09 1421779 dcmtk-dev-3.6.8-r0.apk 13-Jan-2024 23:09 1729288 dcmtk-doc-3.6.8-r0.apk 13-Jan-2024 23:09 271936 dcmtk-openrc-3.6.8-r0.apk 13-Jan-2024 23:09 1535 dcnnt-0.10.0-r1.apk 15-Apr-2024 21:50 28184 dcnnt-doc-0.10.0-r1.apk 15-Apr-2024 21:50 6481 dcnnt-pyc-0.10.0-r1.apk 15-Apr-2024 21:50 62884 ddcci-driver-linux-src-0.4.4-r2.apk 14-Mar-2024 08:35 19350 ddgr-2.2-r0.apk 14-Jan-2024 20:26 20311 ddgr-bash-completion-2.2-r0.apk 14-Jan-2024 20:26 2012 ddgr-doc-2.2-r0.apk 14-Jan-2024 20:26 11560 ddgr-fish-completion-2.2-r0.apk 14-Jan-2024 20:26 2090 ddgr-zsh-completion-2.2-r0.apk 14-Jan-2024 20:26 2490 ddnrs-0.2.0-r0.apk 19-Jan-2024 03:12 1038431 ddnrs-openrc-0.2.0-r0.apk 19-Jan-2024 03:12 1796 ddserver-0_git20200930-r1.apk 02-Nov-2022 08:46 12997 deadbeef-soxr-20180801-r0.apk 25-Nov-2020 06:29 6750 debconf-1.5.82-r0.apk 16-Mar-2023 14:39 70802 debconf-bash-completion-1.5.82-r0.apk 16-Mar-2023 14:39 1637 debconf-doc-1.5.82-r0.apk 16-Mar-2023 14:39 27183 debconf-lang-1.5.82-r0.apk 16-Mar-2023 14:39 135340 debconf-utils-1.5.82-r0.apk 16-Mar-2023 14:39 6556 dehydrated-0.7.1-r0.apk 20-Jan-2023 22:16 26805 desed-1.2.1-r1.apk 24-May-2023 07:48 427266 desed-doc-1.2.1-r1.apk 24-May-2023 07:48 2682 desync-0.9.5-r5.apk 07-Apr-2024 00:46 7227401 detox-2.0.0-r0.apk 01-Apr-2024 19:42 112556 detox-doc-2.0.0-r0.apk 01-Apr-2024 19:42 20967 deutex-5.2.2-r1.apk 10-Apr-2022 21:14 76498 devil-1.8.0-r0.apk 12-Sep-2023 10:21 292485 devil-dev-1.8.0-r0.apk 12-Sep-2023 10:21 12942 dewduct-0.2.1-r0.apk 30-Apr-2024 06:32 1223423 dex-0.9.0-r1.apk 19-Oct-2023 16:18 7847 dex-doc-0.9.0-r1.apk 19-Oct-2023 16:18 5724 dfl-applications-0.2.0-r0.apk 30-Dec-2023 13:27 35270 dfl-applications-dev-0.2.0-r0.apk 30-Dec-2023 13:27 3960 dfl-ipc-0.2.0-r0.apk 30-Dec-2023 13:27 21293 dfl-ipc-dev-0.2.0-r0.apk 30-Dec-2023 13:27 3433 dfl-login1-0.2.0-r0.apk 30-Dec-2023 13:27 17391 dfl-login1-dev-0.2.0-r0.apk 30-Dec-2023 13:27 3279 dfl-sni-0.2.0-r0.apk 30-Dec-2023 13:27 33532 dfl-sni-dev-0.2.0-r0.apk 30-Dec-2023 13:27 3964 dfu-programmer-1.1.0-r0.apk 10-Jul-2023 20:18 35317 dfu-programmer-bash-completion-1.1.0-r0.apk 10-Jul-2023 20:18 2585 dfu-programmer-doc-1.1.0-r0.apk 10-Jul-2023 20:18 5635 dfu-util-0.11-r1.apk 14-Oct-2022 16:16 41350 dfu-util-doc-0.11-r1.apk 14-Oct-2022 16:16 7213 dhewm3-1.5.2-r0.apk 24-Aug-2022 08:00 4829015 diceware-0.10-r1.apk 15-Apr-2024 21:50 222606 diceware-pyc-0.10-r1.apk 15-Apr-2024 21:50 17212 ding-libs-0.6.2-r4.apk 09-Nov-2023 08:43 83007 ding-libs-dev-0.6.2-r4.apk 09-Nov-2023 08:43 69341 dinit-0.18.0-r1.apk 28-Jan-2024 11:40 173726 dinit-alpine-0_git20240128-r0.apk 28-Jan-2024 12:44 8326 dinit-doc-0.18.0-r1.apk 28-Jan-2024 11:40 29415 dinit-shutdown-0.18.0-r1.apk 28-Jan-2024 11:40 19870 disfetch-3.7-r0.apk 08-Jun-2023 21:49 8225 diskonaut-0.11.0-r3.apk 24-May-2023 07:48 484440 diskus-0.7.0-r1.apk 24-May-2023 07:48 339138 dislocker-0.7.3-r5.apk 13-Apr-2024 17:05 17970 dislocker-doc-0.7.3-r5.apk 13-Apr-2024 17:05 5944 dislocker-libs-0.7.3-r5.apk 13-Apr-2024 17:05 46415 dissent-0.0.22-r1.apk 07-Apr-2024 00:46 14554491 distrobuilder-2.1-r16.apk 07-Apr-2024 00:46 5674175 distrobuilder-doc-2.1-r16.apk 07-Apr-2024 00:46 15781 distrobuilder-lxc-2.1-r16.apk 07-Apr-2024 00:46 1233 distrobuilder-lxd-2.1-r16.apk 07-Apr-2024 00:46 1244 dive-0.11.0-r5.apk 07-Apr-2024 00:46 3852664 dlib-19.24.4-r0.apk 02-Apr-2024 08:34 809004 dlib-dev-19.24.4-r0.apk 02-Apr-2024 08:34 2546573 dmarc-metrics-exporter-1.0.0-r2.apk 15-Apr-2024 21:50 26388 dmarc-metrics-exporter-openrc-1.0.0-r2.apk 15-Apr-2024 21:50 1735 dmarc-metrics-exporter-pyc-1.0.0-r2.apk 15-Apr-2024 21:50 47573 dnscontrol-4.9.0-r0.apk 10-Apr-2024 23:39 12350888 dnscontrol-doc-4.9.0-r0.apk 10-Apr-2024 23:39 2091 dnscrypt-wrapper-0.4.2-r3.apk 19-Dec-2023 15:01 30244 dnsenum-1.3.2-r0.apk 24-Apr-2024 01:33 21472 dnsenum-doc-1.3.2-r0.apk 24-Apr-2024 01:33 5100 dnsfunnel-0.0.1.6-r0.apk 06-Nov-2023 21:51 14467 dnsfunnel-doc-0.0.1.6-r0.apk 06-Nov-2023 21:51 7011 dnsfunnel-openrc-0.0.1.6-r0.apk 06-Nov-2023 21:51 1591 dnsperf-2.14.0-r0.apk 19-Jan-2024 09:40 72729 dnsperf-doc-2.14.0-r0.apk 19-Jan-2024 09:40 35512 dnssec-tools-2.2.3-r10.apk 08-Jul-2023 01:17 797240 dnssec-tools-dev-2.2.3-r10.apk 08-Jul-2023 01:17 198162 dnssec-tools-doc-2.2.3-r10.apk 08-Jul-2023 01:17 323214 dnstap-ldns-0.2.1-r0.apk 08-Mar-2024 15:55 11661 doasedit-1.0.7-r0.apk 13-Feb-2024 17:44 3403 docbook2mdoc-1.1.0-r1.apk 02-Nov-2022 08:46 22847 docbook2mdoc-doc-1.1.0-r1.apk 02-Nov-2022 08:46 3984 docker-auth-1.11.0-r7.apk 07-Apr-2024 00:46 8794818 docker-auth-openrc-1.11.0-r7.apk 07-Apr-2024 00:46 1897 docker-volume-local-persist-1.3.0-r25.apk 07-Apr-2024 00:46 2244542 docker-volume-local-persist-openrc-1.3.0-r25.apk 07-Apr-2024 00:46 1565 dockerize-0.7.0-r5.apk 07-Apr-2024 00:46 2942805 dolt-1.35.12-r0.apk 01-May-2024 13:20 33276120 dooit-2.2.0-r1.apk 15-Apr-2024 21:50 42744 dooit-pyc-2.2.0-r1.apk 15-Apr-2024 21:50 97821 dosbox-staging-0.81.0-r0.apk 16-Feb-2024 11:15 2562920 dosbox-staging-doc-0.81.0-r0.apk 16-Feb-2024 11:15 48702 dovi-tool-2.0.3-r0.apk 12-Jun-2023 15:20 1040792 downloader-cli-0.3.4-r1.apk 15-Apr-2024 21:50 1798 draw-0.1.1-r5.apk 07-Apr-2024 00:47 920396 drawing-1.0.2-r0.apk 06-Mar-2023 13:04 3329210 drawing-lang-1.0.2-r0.apk 06-Mar-2023 13:04 278237 droidcam-2.1.3-r0.apk 05-Apr-2024 23:23 19855 droidcam-gui-2.1.3-r0.apk 05-Apr-2024 23:23 29564 drone-cli-1.8.0-r2.apk 07-Apr-2024 00:47 5529481 dropwatch-1.5.4-r4.apk 01-Mar-2024 15:19 18006 dropwatch-doc-1.5.4-r4.apk 01-Mar-2024 15:19 3539 drumgizmo-0.9.20-r1.apk 22-Jun-2023 06:48 424310 dsnet-0.7.3-r2.apk 07-Apr-2024 00:47 3605802 dsnet-doc-0.7.3-r2.apk 07-Apr-2024 00:47 9262 dsp-1.9-r1.apk 28-Feb-2023 00:05 106970 dsp-doc-1.9-r1.apk 28-Feb-2023 00:05 7085 dstask-0.26-r6.apk 07-Apr-2024 00:47 1302781 dstask-bash-completion-0.26-r6.apk 07-Apr-2024 00:47 1901 dstask-import-0.26-r6.apk 07-Apr-2024 00:47 3101828 dstask-zsh-completion-0.26-r6.apk 07-Apr-2024 00:47 1450 dublin-traceroute-0.4.2-r3.apk 16-Sep-2023 21:20 49816 dublin-traceroute-contrib-0.4.2-r3.apk 16-Sep-2023 21:20 2687 dublin-traceroute-dev-0.4.2-r3.apk 16-Sep-2023 21:20 6816 dublin-traceroute-doc-0.4.2-r3.apk 16-Sep-2023 21:20 2116 duc-1.4.5-r0.apk 27-Jun-2023 16:29 90129 duc-doc-1.4.5-r0.apk 27-Jun-2023 16:29 9036 duf-0.8.1-r18.apk 07-Apr-2024 00:47 988384 dum-0.1.19-r1.apk 24-May-2023 07:48 249954 dumb_runtime_dir-1.0.4-r2.apk 05-Oct-2023 08:30 3638 dune-deps-1.3.0-r2.apk 24-Mar-2024 02:11 852593 dustracing2d-2.1.1-r1.apk 02-Nov-2022 08:47 5414062 dvdbackup-0.4.2-r1.apk 14-Oct-2022 16:16 16999 dvdbackup-doc-0.4.2-r1.apk 14-Oct-2022 16:16 7763 dvdbackup-lang-0.4.2-r1.apk 14-Oct-2022 16:16 1463 dwl-0.5-r1.apk 14-Mar-2024 08:36 27594 dwl-doc-0.5-r1.apk 14-Mar-2024 08:36 2950 eatmemory-0.1.6-r2.apk 02-Nov-2022 08:47 4358 eboard-1.1.3-r1.apk 29-Jul-2023 20:02 1536008 eboard-doc-1.1.3-r1.apk 29-Jul-2023 20:02 4504 ecasound-2.9.3-r3.apk 25-Sep-2023 19:27 725845 ecasound-dev-2.9.3-r3.apk 25-Sep-2023 19:27 1241387 ecasound-doc-2.9.3-r3.apk 25-Sep-2023 19:27 39283 eccodes-2.32.1-r0.apk 08-Dec-2023 22:07 11851297 eclib-20231212-r1.apk 22-Apr-2024 18:58 379262 eclib-dev-20231212-r1.apk 22-Apr-2024 18:58 99441 eclib-doc-20231212-r1.apk 22-Apr-2024 18:58 28467 eclib-libs-20231212-r1.apk 22-Apr-2024 18:58 1233348 eclib-static-20231212-r1.apk 22-Apr-2024 18:58 18783724 ecm-7.0.5-r1.apk 15-May-2023 20:51 136425 ecm-dev-7.0.5-r1.apk 15-May-2023 20:51 4720 ecm-doc-7.0.5-r1.apk 15-May-2023 20:51 7094 ecos-2.0.10-r0.apk 23-May-2022 22:06 44417 ecos-dev-2.0.10-r0.apk 23-May-2022 22:06 28595 edward-1.0.1-r1.apk 18-Dec-2023 15:22 1991436 edward-doc-1.0.1-r1.apk 18-Dec-2023 15:22 4958 eiwd-2.16-r0.apk 20-Mar-2024 20:07 883102 eiwd-doc-2.16-r0.apk 20-Mar-2024 20:07 19975 eiwd-openrc-2.16-r0.apk 20-Mar-2024 20:07 1672 elastic-beats-8.13.3-r0.apk 03-May-2024 19:44 1170 elementary-photos-2.8.0-r1.apk 29-Apr-2023 21:47 1295973 elementary-photos-lang-2.8.0-r1.apk 29-Apr-2023 21:47 1344339 elementary-photos-publishing-2.8.0-r1.apk 29-Apr-2023 21:47 243670 elf_diff-0.7.1-r1.apk 15-Apr-2024 21:50 96198 elf_diff-pyc-0.7.1-r1.apk 15-Apr-2024 21:50 110296 elfio-3.12-r0.apk 30-Aug-2023 04:54 1187 elfio-dev-3.12-r0.apk 30-Aug-2023 04:54 56053 emacs-ace-window-0.10.0_git20220911-r0.apk 02-Apr-2024 07:53 23056 emacs-avy-0.5.0_git20230420-r0.apk 02-Apr-2024 07:53 44217 emacs-avy-embark-collect-1.0_git20240327-r0.apk 09-Apr-2024 14:46 3693 emacs-centaur-tabs-3.2_git20230601-r0.apk 02-Apr-2024 07:53 56130 emacs-consult-1.4_git20240405-r0.apk 09-Apr-2024 14:46 140799 emacs-derl-0_git20231004-r0.apk 05-May-2024 06:28 23780 emacs-elfeed-3.4.1_git20240326-r0.apk 02-Apr-2024 07:53 93258 emacs-embark-1.0_git20240327-r0.apk 09-Apr-2024 14:46 112064 emacs-embark-consult-1.0_git20240327-r0.apk 09-Apr-2024 14:46 10432 emacs-ement-0.14_git20240320-r0.apk 02-Apr-2024 07:53 291055 emacs-fossil-0_git20230504-r0.apk 05-May-2024 06:28 14711 emacs-hackernews-0.7.0-r0.apk 02-Apr-2024 07:53 15633 emacs-helm-3.9.7_git20240329-r0.apk 02-Apr-2024 07:53 834470 emacs-hnreader-0_git20221116-r0.apk 02-Apr-2024 07:53 9741 emacs-hydra-0.15.0_git20220910-r0.apk 02-Apr-2024 07:53 46802 emacs-persist-0.6_git20240114-r0.apk 02-Apr-2024 07:53 6522 emacs-powerline-2.4_git20221110-r0.apk 02-Apr-2024 07:53 29349 emacs-svg-lib-0_git20240219-r0.apk 02-Apr-2024 07:53 19183 emacs-taxy-0.10.1-r0.apk 02-Apr-2024 07:53 11470 emacs-taxy-magit-section-0.13-r0.apk 02-Apr-2024 07:53 17463 empede-0.2.3-r0.apk 07-Jan-2024 01:53 2165815 empede-doc-0.2.3-r0.apk 07-Jan-2024 01:53 2076 empede-openrc-0.2.3-r0.apk 07-Jan-2024 01:53 1703 emulationstation-2.11.2-r0.apk 17-Apr-2023 14:38 872564 emulationstation-theme-gbz35-2.11.2-r0.apk 17-Apr-2023 14:38 3413246 endeavour-43.0-r1.apk 23-Mar-2024 19:39 204375 endeavour-dev-43.0-r1.apk 23-Mar-2024 19:39 47597 endeavour-doc-43.0-r1.apk 23-Mar-2024 19:39 76875 endeavour-lang-43.0-r1.apk 23-Mar-2024 19:39 209298 endless-sky-0.9.16.1-r0.apk 21-Oct-2022 21:53 183718533 endless-sky-doc-0.9.16.1-r0.apk 21-Oct-2022 21:53 24646 endlessh-1.1-r0.apk 20-Feb-2022 18:54 9823 endlessh-doc-1.1-r0.apk 20-Feb-2022 18:54 2456 enjoy-0.3-r1.apk 03-Feb-2023 03:59 12461 enlighten-0.9.2-r1.apk 02-Nov-2022 08:47 8090 enlighten-doc-0.9.2-r1.apk 02-Nov-2022 08:47 3325 envconsul-0.13.2-r5.apk 07-Apr-2024 00:47 4512609 envsubst-0.1-r1.apk 28-Jun-2022 05:30 5237 epoch-1.3.0-r1.apk 02-Nov-2022 08:47 55272 epr-2.4.15-r1.apk 15-Apr-2024 21:50 15813 epr-pyc-2.4.15-r1.apk 15-Apr-2024 21:50 24744 ergo-ldap-0.0.1-r9.apk 07-Apr-2024 00:47 1918483 ergo-ldap-doc-0.0.1-r9.apk 07-Apr-2024 00:47 2083 espeakup-0.90-r2.apk 14-Mar-2024 08:36 12272 espeakup-openrc-0.90-r2.apk 14-Mar-2024 08:36 1589 esptool-4.7.0-r1.apk 15-Apr-2024 21:50 303406 esptool-pyc-4.7.0-r1.apk 15-Apr-2024 21:50 456781 etcd-3.5.13-r1.apk 07-Apr-2024 00:47 8255563 etcd-ctl-3.5.13-r1.apk 07-Apr-2024 00:47 6350379 etcd-doc-3.5.13-r1.apk 07-Apr-2024 00:47 5412 etcd-openrc-3.5.13-r1.apk 07-Apr-2024 00:47 1931 ettercap-0.8.3.1-r2.apk 21-Oct-2022 21:53 625473 ettercap-doc-0.8.3.1-r2.apk 21-Oct-2022 21:53 45975 eva-0.3.1-r2.apk 24-May-2023 07:48 675101 exabgp-4.2.21-r4.apk 15-Apr-2024 21:50 446102 exabgp-doc-4.2.21-r4.apk 15-Apr-2024 21:50 8045 exabgp-openrc-4.2.21-r4.apk 15-Apr-2024 21:50 2091 exabgp-pyc-4.2.21-r4.apk 15-Apr-2024 21:50 918769 exercism-3.2.0-r4.apk 07-Apr-2024 00:47 3772047 exercism-bash-completion-3.2.0-r4.apk 07-Apr-2024 00:47 1784 exercism-fish-completion-3.2.0-r4.apk 07-Apr-2024 00:47 2200 exercism-zsh-completion-3.2.0-r4.apk 07-Apr-2024 00:47 1933 extrace-0.9-r0.apk 18-Apr-2024 19:40 11722 extrace-doc-0.9-r0.apk 18-Apr-2024 19:40 3334 extremetuxracer-0.8.3-r0.apk 25-Jul-2023 13:04 41460481 extremetuxracer-doc-0.8.3-r0.apk 25-Jul-2023 13:04 6598 extundelete-0.2.4-r1.apk 14-Oct-2022 16:16 41370 f3-8.0-r1.apk 02-Nov-2022 08:47 45203 f3-doc-8.0-r1.apk 02-Nov-2022 08:47 2318 f3-extra-8.0-r1.apk 02-Nov-2022 08:47 80372 f_scripts-0.5-r2.apk 11-Sep-2023 20:17 1243 f_scripts-f_audio-0.5-r2.apk 11-Sep-2023 20:17 2292 f_scripts-f_files-0.5-r2.apk 11-Sep-2023 20:17 2736 f_scripts-f_game-0.5-r2.apk 11-Sep-2023 20:17 1692 f_scripts-f_maps-0.5-r2.apk 11-Sep-2023 20:17 1899 f_scripts-f_networks-0.5-r2.apk 11-Sep-2023 20:17 2733 f_scripts-f_phone-0.5-r2.apk 11-Sep-2023 20:17 5742 f_scripts-f_rss-0.5-r2.apk 11-Sep-2023 20:17 2337 f_scripts-f_theme-0.5-r2.apk 11-Sep-2023 20:17 2304 f_scripts-f_timer-0.5-r2.apk 11-Sep-2023 20:17 2178 f_scripts-f_web-0.5-r2.apk 11-Sep-2023 20:17 2692 f_scripts-f_youtube-0.5-r2.apk 11-Sep-2023 20:17 2570 fabric-3.2.2-r1.apk 15-Apr-2024 21:50 55680 fabric-pyc-3.2.2-r1.apk 15-Apr-2024 21:50 61325 fakeroot-tcp-1.32.1-r1.apk 05-Aug-2023 17:12 30795 fast_float-5.2.0-r1.apk 01-Aug-2023 12:37 43555 fastd-22-r3.apk 22-Oct-2023 04:58 74397 fastd-doc-22-r3.apk 22-Oct-2023 04:58 3138 fastd-openrc-22-r3.apk 22-Oct-2023 04:58 1537 fatback-1.3-r2.apk 02-Nov-2022 08:47 30151 fatback-doc-1.3-r2.apk 02-Nov-2022 08:47 16206 fathom-1.3.1-r5.apk 07-Apr-2024 00:47 4476072 fatrace-0.17.0-r0.apk 14-Nov-2022 21:14 10571 fatrace-doc-0.17.0-r0.apk 14-Nov-2022 21:14 3089 fatresize-1.1.0-r1.apk 02-Nov-2022 08:47 8815 fatresize-doc-1.1.0-r1.apk 02-Nov-2022 08:47 15296 faultstat-0.01.11-r0.apk 13-Jan-2024 12:40 14130 faultstat-bash-completion-0.01.11-r0.apk 13-Jan-2024 12:40 2085 faultstat-doc-0.01.11-r0.apk 13-Jan-2024 12:40 2841 faust-2.60.3-r2.apk 29-Jun-2023 02:17 8616551 faust-dev-2.60.3-r2.apk 29-Jun-2023 02:17 788749 faust-doc-2.60.3-r2.apk 29-Jun-2023 02:17 17500544 faust-static-2.60.3-r2.apk 29-Jun-2023 02:17 579068 faust-tools-2.60.3-r2.apk 29-Jun-2023 02:17 124043 faust-vim-2.60.3-r2.apk 29-Jun-2023 02:17 2419 fceux-2.6.6-r1.apk 13-Dec-2023 20:21 3042331 fceux-doc-2.6.6-r1.apk 13-Dec-2023 20:21 108126 fcitx5-5.1.9-r0.apk 25-Apr-2024 19:25 9332822 fcitx5-anthy-5.1.3-r0.apk 30-Jan-2024 22:32 148204 fcitx5-anthy-lang-5.1.3-r0.apk 30-Jan-2024 22:32 22521 fcitx5-bamboo-1.0.4-r9.apk 07-Apr-2024 00:47 695466 fcitx5-bamboo-lang-1.0.4-r9.apk 07-Apr-2024 00:47 5653 fcitx5-chewing-5.1.1-r0.apk 30-Jan-2024 22:32 29974 fcitx5-chewing-lang-5.1.1-r0.apk 30-Jan-2024 22:32 8832 fcitx5-configtool-5.1.4-r0.apk 08-Apr-2024 20:23 602546 fcitx5-configtool-lang-5.1.4-r0.apk 08-Apr-2024 20:23 46740 fcitx5-dev-5.1.9-r0.apk 25-Apr-2024 19:25 137698 fcitx5-gtk-5.1.2-r0.apk 08-Apr-2024 20:23 20996 fcitx5-gtk-dev-5.1.2-r0.apk 08-Apr-2024 20:23 10172 fcitx5-gtk3-5.1.2-r0.apk 08-Apr-2024 20:23 37467 fcitx5-gtk4-5.1.2-r0.apk 08-Apr-2024 20:23 36731 fcitx5-hangul-5.1.2-r0.apk 08-Apr-2024 20:23 51622 fcitx5-hangul-lang-5.1.2-r0.apk 08-Apr-2024 20:23 6887 fcitx5-kkc-5.1.2-r0.apk 08-Apr-2024 20:23 87400 fcitx5-kkc-lang-5.1.2-r0.apk 08-Apr-2024 20:23 11012 fcitx5-lang-5.1.9-r0.apk 25-Apr-2024 19:25 156928 fcitx5-lua-5.0.12-r0.apk 30-Jan-2024 22:32 40325 fcitx5-lua-dev-5.0.12-r0.apk 30-Jan-2024 22:32 3003 fcitx5-lua-lang-5.0.12-r0.apk 30-Jan-2024 22:32 3594 fcitx5-m17n-5.1.0-r1.apk 30-Jan-2024 22:32 65349 fcitx5-m17n-lang-5.1.0-r1.apk 30-Jan-2024 22:32 6067 fcitx5-qt-5.1.5-r0.apk 08-Apr-2024 20:23 65066 fcitx5-qt-dev-5.1.5-r0.apk 08-Apr-2024 20:23 23031 fcitx5-qt-lang-5.1.5-r0.apk 08-Apr-2024 20:23 13527 fcitx5-qt-qt5-5.1.5-r0.apk 08-Apr-2024 20:23 199385 fcitx5-qt-qt6-5.1.5-r0.apk 08-Apr-2024 20:23 252253 fcitx5-rime-5.1.5-r0.apk 08-Apr-2024 20:23 77566 fcitx5-rime-lang-5.1.5-r0.apk 08-Apr-2024 20:23 8774 fcitx5-table-extra-5.1.4-r0.apk 08-Apr-2024 20:23 43404029 fcitx5-table-other-5.1.1-r0.apk 08-Apr-2024 20:23 1437347 fcitx5-unikey-5.1.3-r0.apk 08-Apr-2024 20:23 142542 fcitx5-unikey-lang-5.1.3-r0.apk 08-Apr-2024 20:23 14287 fdm-2.2-r0.apk 19-Mar-2023 05:17 132055 fdm-doc-2.2-r0.apk 19-Mar-2023 05:17 12945 fdm-materials-5.2.2-r0.apk 05-Jan-2023 05:20 60902 featherpad-1.5.0-r0.apk 07-Apr-2024 22:44 764023 featherpad-lang-1.5.0-r0.apk 07-Apr-2024 22:44 473462 felix-2.13.0-r0.apk 05-May-2024 05:24 617074 fff-2.2-r0.apk 15-Jun-2022 20:50 10994 fff-doc-2.2-r0.apk 15-Jun-2022 20:50 9202 fflas-ffpack-2.5.0-r3.apk 01-Aug-2023 12:37 353375 ffms2-2.40-r0.apk 30-Dec-2023 13:46 69526 ffms2-dev-2.40-r0.apk 30-Dec-2023 13:46 7431 ffms2-doc-2.40-r0.apk 30-Dec-2023 13:46 30469 ffsend-0.2.76-r4.apk 01-Jul-2023 05:24 1666991 ffsend-bash-completion-0.2.76-r4.apk 01-Jul-2023 05:24 3432 ffsend-fish-completion-0.2.76-r4.apk 01-Jul-2023 05:24 3389 ffsend-zsh-completion-0.2.76-r4.apk 01-Jul-2023 05:24 4407 fheroes2-1.0.13-r0.apk 16-Mar-2024 21:34 1557618 fheroes2-lang-1.0.13-r0.apk 16-Mar-2024 21:34 1492805 fig2dev-3.2.8b-r0.apk 11-Mar-2022 10:38 280633 fig2dev-doc-3.2.8b-r0.apk 11-Mar-2022 10:38 22169 filebeat-8.13.3-r0.apk 03-May-2024 19:45 28252828 filebeat-openrc-8.13.3-r0.apk 03-May-2024 19:45 1794 fileshelter-5.1.2-r4.apk 22-Apr-2024 18:58 384263 fileshelter-openrc-5.1.2-r4.apk 22-Apr-2024 18:58 1462 findtow-0.1-r0.apk 27-Jan-2022 01:32 5264 finger-0.5-r0.apk 09-Mar-2024 09:11 8877 finger-doc-0.5-r0.apk 09-Mar-2024 09:11 3624 firehol-3.1.7-r2.apk 12-May-2023 18:07 86521 firehol-doc-3.1.7-r2.apk 12-May-2023 18:07 690519 firehol-openrc-3.1.7-r2.apk 12-May-2023 18:07 1834 firewalld-2.1.2-r0.apk 13-Apr-2024 17:05 1066890 firewalld-bash-completion-2.1.2-r0.apk 13-Apr-2024 17:05 4043 firewalld-doc-2.1.2-r0.apk 13-Apr-2024 17:05 82110 firewalld-gui-2.1.2-r0.apk 13-Apr-2024 17:05 112281 firewalld-lang-2.1.2-r0.apk 13-Apr-2024 17:05 553603 firewalld-openrc-2.1.2-r0.apk 13-Apr-2024 17:05 1540 firewalld-zsh-completion-2.1.2-r0.apk 13-Apr-2024 17:05 6082 flamegraph-1.0_git20220918-r1.apk 19-Dec-2023 15:01 38334 flameshot-12.1.0-r3.apk 08-Oct-2023 10:48 533539 flameshot-bash-completion-12.1.0-r3.apk 08-Oct-2023 10:48 2000 flameshot-doc-12.1.0-r3.apk 08-Oct-2023 10:48 4475 flameshot-fish-completion-12.1.0-r3.apk 08-Oct-2023 10:48 2914 flameshot-lang-12.1.0-r3.apk 08-Oct-2023 10:48 500412 flameshot-zsh-completion-12.1.0-r3.apk 08-Oct-2023 10:48 2699 flann-1.9.1-r4.apk 26-Jul-2022 07:50 905892 flann-dev-1.9.1-r4.apk 26-Jul-2022 07:50 1019492 flann-doc-1.9.1-r4.apk 26-Jul-2022 07:50 2672 flare-engine-1.14-r0.apk 26-Jan-2023 19:29 4834278 flare-engine-doc-1.14-r0.apk 26-Jan-2023 19:29 2259 flare-game-1.14-r0.apk 26-Jan-2023 19:29 1976 flatpak-xdg-utils-1.0.5-r0.apk 22-Aug-2023 15:57 23052 flauschige-uhr-0.1-r1.apk 02-Nov-2022 08:47 4408 flightgear-2020.3.19-r1.apk 22-Apr-2024 18:58 11302960 flightgear-bash-completion-2020.3.19-r1.apk 22-Apr-2024 18:58 4042 flightgear-dbg-2020.3.19-r1.apk 22-Apr-2024 18:58 20347058 flightgear-doc-2020.3.19-r1.apk 22-Apr-2024 18:58 62018 flightgear-zsh-completion-2020.3.19-r1.apk 22-Apr-2024 18:58 5302 flint-2.9.0-r1.apk 06-Jan-2023 21:33 6090101 flint-dev-2.9.0-r1.apk 06-Jan-2023 21:33 318587 flintqs-1.0-r1.apk 01-Aug-2023 12:37 22830 flowd-0.9.1-r9.apk 04-Jul-2023 00:01 76389 flowd-dev-0.9.1-r9.apk 04-Jul-2023 00:01 8259 flowd-doc-0.9.1-r9.apk 04-Jul-2023 00:01 10105 flowd-openrc-0.9.1-r9.apk 04-Jul-2023 00:01 1678 flux-2.2.3-r2.apk 07-Apr-2024 00:47 26188680 flux-bash-completion-2.2.3-r2.apk 07-Apr-2024 00:47 13432 flux-fish-completion-2.2.3-r2.apk 07-Apr-2024 00:47 4164 flux-zsh-completion-2.2.3-r2.apk 07-Apr-2024 00:47 3889 fnf-0.1-r0.apk 09-Mar-2024 07:45 20095 fnf-doc-0.1-r0.apk 09-Mar-2024 07:45 4436 foma-0.10.0_git20221230-r0.apk 13-Jun-2023 17:29 355315 foma-dev-0.10.0_git20221230-r0.apk 13-Jun-2023 17:29 8430 font-andika-6.200-r0.apk 28-Apr-2024 19:35 1546340 font-anonymous-pro-1.002-r2.apk 11-Oct-2022 02:14 270822 font-babelstone-han-15.1.3-r0.apk 10-Jan-2024 21:13 19204100 font-chivo-0_git20221110-r0.apk 09-Dec-2022 22:19 811104 font-chivo-mono-0_git20221110-r0.apk 09-Dec-2022 22:19 640619 font-comic-neue-2.51-r0.apk 18-Feb-2021 17:37 254743 font-comic-neue-doc-2.51-r0.apk 18-Feb-2021 17:37 1028163 font-commit-mono-1.143-r0.apk 31-Dec-2023 15:45 257041 font-cousine-0_git20210228-r0.apk 23-Feb-2024 02:13 112361 font-fantasque-sans-1.8.0-r0.apk 22-Nov-2019 15:18 1229 font-fantasque-sans-doc-1.8.0-r0.apk 22-Nov-2019 15:18 5625 font-fantasque-sans-largelineheight-1.8.0-r0.apk 22-Nov-2019 15:18 323420 font-fantasque-sans-largelineheightnoloopk-1.8...> 22-Nov-2019 15:18 323418 font-fantasque-sans-noloopk-1.8.0-r0.apk 22-Nov-2019 15:18 323399 font-fantasque-sans-normal-1.8.0-r0.apk 22-Nov-2019 15:18 323414 font-fira-4.202-r0.apk 04-Dec-2021 03:21 1238 font-fira-code-6.2-r0.apk 26-Jul-2022 02:52 856070 font-fira-code-vf-6.2-r0.apk 26-Jul-2022 02:52 148541 font-fira-otf-4.202-r0.apk 04-Dec-2021 03:21 7737325 font-fira-ttf-4.202-r0.apk 04-Dec-2021 03:21 6496541 font-firamath-0.3.4-r0.apk 18-Aug-2022 19:20 121165 font-fontawesome-4-4.7.0-r3.apk 11-Oct-2022 02:14 209931 font-hanazono-20170904-r1.apk 06-Jan-2024 22:25 30352280 font-intel-one-mono-1.3.0-r0.apk 17-Sep-2023 16:05 287934 font-katex-0.16.2-r0.apk 20-Sep-2022 19:46 872000 font-material-icons-4.0.0-r0.apk 26-Feb-2023 18:22 667233 font-monaspace-1.000-r0.apk 11-Nov-2023 16:12 1250 font-monaspace-argon-1.000-r0.apk 11-Nov-2023 16:12 2234069 font-monaspace-krypton-1.000-r0.apk 11-Nov-2023 16:12 2080375 font-monaspace-neon-1.000-r0.apk 11-Nov-2023 16:12 2154197 font-monaspace-radon-1.000-r0.apk 11-Nov-2023 16:12 2799061 font-monaspace-xenon-1.000-r0.apk 11-Nov-2023 16:12 2364345 font-monocraft-3.0-r0.apk 10-Jun-2023 18:44 42424 font-raleway-4.101-r1.apk 23-Nov-2021 23:57 1257 font-raleway-otf-4.101-r1.apk 23-Nov-2021 23:57 1334343 font-raleway-ttf-4.101-r1.apk 23-Nov-2021 23:57 1642269 font-siji-20190218_git-r2.apk 08-Oct-2022 17:19 25080 font-stix-otf-2.13-r0.apk 23-Feb-2024 02:13 2139700 font-stix-ttf-2.13-r0.apk 23-Feb-2024 02:13 440114 font-tamzen-1.11.5-r1.apk 08-Oct-2022 17:19 63353 font-teluguvijayam-20190525-r1.apk 21-Aug-2022 15:19 3918479 font-tinos-0_git20210228-r0.apk 23-Feb-2024 02:13 203188 font-tiresias-0_git20200704-r0.apk 02-Jan-2023 22:43 581637 font-tiresias-doc-0_git20200704-r0.apk 02-Jan-2023 22:43 59278 font-twemoji-15.0.3-r0.apk 30-Jan-2024 15:00 2797464 foolsm-1.0.21-r0.apk 21-May-2022 12:41 34948 foolsm-doc-1.0.21-r0.apk 21-May-2022 12:41 3945 foolsm-openrc-1.0.21-r0.apk 21-May-2022 12:41 1587 forgejo-7.0.2-r0.apk 05-May-2024 05:24 40194381 forgejo-openrc-7.0.2-r0.apk 05-May-2024 05:24 1591 forgejo-runner-3.4.1-r1.apk 07-Apr-2024 00:47 6734792 forgejo-runner-openrc-3.4.1-r1.apk 07-Apr-2024 00:47 2169 fox-1.6.57-r0.apk 08-Aug-2022 10:58 1128782 fox-adie-1.6.57-r0.apk 08-Aug-2022 10:58 128113 fox-calculator-1.6.57-r0.apk 08-Aug-2022 10:58 34800 fox-dev-1.6.57-r0.apk 08-Aug-2022 10:58 1910553 fox-doc-1.6.57-r0.apk 08-Aug-2022 10:58 2111957 fox-pathfinder-1.6.57-r0.apk 08-Aug-2022 10:58 52277 fox-shutterbug-1.6.57-r0.apk 08-Aug-2022 10:58 21862 fox-utils-1.6.57-r0.apk 08-Aug-2022 10:58 7446 fpc-stage0-3.2.2-r1.apk 25-Dec-2021 10:35 7158945 fplll-5.4.5-r0.apk 22-Oct-2023 08:13 57802 fplll-dev-5.4.5-r0.apk 22-Oct-2023 08:13 81106 fplll-libs-5.4.5-r0.apk 22-Oct-2023 08:13 8946131 fplll-static-5.4.5-r0.apk 22-Oct-2023 08:13 9916325 fplll-strategies-5.4.5-r0.apk 22-Oct-2023 08:13 1791830 fpp-0.9.5-r0.apk 14-Feb-2022 22:13 29973 fpp-doc-0.9.5-r0.apk 14-Feb-2022 22:13 5726 freealut-1.1.0-r1.apk 26-Jul-2022 07:50 19489 freealut-dev-1.1.0-r1.apk 26-Jul-2022 07:50 25433 freediameter-1.5.0-r1.apk 06-Nov-2022 10:59 9189 freediameter-dev-1.5.0-r1.apk 06-Nov-2022 10:59 54811 freediameter-extensions-1.5.0-r1.apk 06-Nov-2022 10:59 372047 freediameter-libfdcore-1.5.0-r1.apk 06-Nov-2022 10:59 152779 freediameter-libfdproto-1.5.0-r1.apk 06-Nov-2022 10:59 82489 freedoom-0.12.1-r2.apk 31-Oct-2023 06:57 12307293 freedoom-doc-0.12.1-r2.apk 31-Oct-2023 06:57 59492 frescobaldi-3.3.0-r1.apk 15-Apr-2024 21:50 3645706 frescobaldi-doc-3.3.0-r1.apk 15-Apr-2024 21:50 2273 frescobaldi-pyc-3.3.0-r1.apk 15-Apr-2024 21:50 1253072 freshrss-1.23.1-r1.apk 18-Mar-2024 06:44 1593983 freshrss-doc-1.23.1-r1.apk 18-Mar-2024 06:44 769010 freshrss-lang-1.23.1-r1.apk 18-Mar-2024 06:44 388084 freshrss-mysql-1.23.1-r1.apk 18-Mar-2024 06:44 1223 freshrss-openrc-1.23.1-r1.apk 18-Mar-2024 06:44 2315 freshrss-pgsql-1.23.1-r1.apk 18-Mar-2024 06:44 1226 freshrss-sqlite-1.23.1-r1.apk 18-Mar-2024 06:44 1227 freshrss-themes-1.23.1-r1.apk 18-Mar-2024 06:44 1575730 fscryptctl-1.1.0-r0.apk 09-Apr-2024 18:48 8806 fulcrum-1.9.8-r0.apk 12-Feb-2024 22:45 907578 fulcrum-admin-1.9.8-r0.apk 12-Feb-2024 22:45 7849 fulcrum-doc-1.9.8-r0.apk 12-Feb-2024 22:45 21877 fusee-nano-0.5.3-r0.apk 31-Dec-2023 18:28 21609 fusee-nano-udev-0.5.3-r0.apk 31-Dec-2023 18:28 1479 fzy-1.0-r3.apk 02-Nov-2022 08:47 15947 fzy-doc-1.0-r3.apk 02-Nov-2022 08:47 2525 g4music-3.5.2-r0.apk 25-Apr-2024 14:35 181634 g4music-lang-3.5.2-r0.apk 25-Apr-2024 14:35 36911 gambit-4.9.5-r0.apk 21-Jan-2024 13:55 8218987 gambit-dev-4.9.5-r0.apk 21-Jan-2024 13:55 7518341 gambit-doc-4.9.5-r0.apk 21-Jan-2024 13:55 4202 game-devices-udev-0.22-r2.apk 31-Oct-2023 06:57 6714 gamescope-3.12.0-r1.apk 25-Nov-2023 23:42 455209 gamja-1.0.0_beta9-r0.apk 26-Nov-2023 22:36 613313 gamja-doc-1.0.0_beta9-r0.apk 26-Nov-2023 22:36 2028 gammastep-2.0.9-r3.apk 15-Apr-2024 21:50 92457 gammastep-doc-2.0.9-r3.apk 15-Apr-2024 21:50 14135 gammastep-lang-2.0.9-r3.apk 15-Apr-2024 21:50 79131 gammastep-pyc-2.0.9-r3.apk 15-Apr-2024 21:50 17018 gatling-0.16-r4.apk 07-Aug-2023 20:54 153185 gatling-doc-0.16-r4.apk 07-Aug-2023 20:54 9052 gatling-openrc-0.16-r4.apk 07-Aug-2023 20:54 2608 gaupol-1.12-r2.apk 15-Apr-2024 21:50 282535 gaupol-doc-1.12-r2.apk 15-Apr-2024 21:50 2160 gaupol-lang-1.12-r2.apk 15-Apr-2024 21:50 283060 gaupol-pyc-1.12-r2.apk 15-Apr-2024 21:50 429036 gb-0.4.4-r23.apk 07-Apr-2024 00:47 6395790 gdb-esp-13.2-r2.apk 16-Apr-2024 16:10 1284 gdb-xtensa-esp32-elf-13.2-r2.apk 16-Apr-2024 16:10 3043950 gdb-xtensa-esp32s2-elf-13.2-r2.apk 16-Apr-2024 16:11 3034191 gdb-xtensa-esp32s3-elf-13.2-r2.apk 16-Apr-2024 16:11 3068099 gdb-xtensa-esp8266-elf-13.2-r2.apk 16-Apr-2024 16:11 3029960 gdcm-3.0.23-r2.apk 15-Apr-2024 21:50 399906 gdcm-dev-3.0.23-r2.apk 15-Apr-2024 21:50 473406 gdcm-doc-3.0.23-r2.apk 15-Apr-2024 21:50 56581 gdm-settings-4.3-r1.apk 15-Apr-2024 21:50 155132 gdm-settings-lang-4.3-r1.apk 15-Apr-2024 21:50 158561 gearman-dev-1.1.21-r1.apk 22-Apr-2024 18:58 1101701 gearman-libs-1.1.21-r1.apk 22-Apr-2024 18:58 93357 gearmand-1.1.21-r1.apk 22-Apr-2024 18:58 198181 gearmand-doc-1.1.21-r1.apk 22-Apr-2024 18:58 194006 gearmand-openrc-1.1.21-r1.apk 22-Apr-2024 18:58 1613 gede-2.18.2-r1.apk 19-Dec-2023 15:01 278602 genact-1.4.2-r0.apk 20-Feb-2024 21:51 1462027 geodns-3.3.0-r5.apk 07-Apr-2024 00:47 4452051 geodns-logs-3.3.0-r5.apk 07-Apr-2024 00:47 4039027 geodns-openrc-3.3.0-r5.apk 07-Apr-2024 00:47 1600 geomyidae-0.34-r2.apk 02-Nov-2022 08:47 16779 geomyidae-doc-0.34-r2.apk 02-Nov-2022 08:47 7569 geomyidae-openrc-0.34-r2.apk 02-Nov-2022 08:47 1771 geonames-0.3.1-r1.apk 17-Apr-2024 17:02 847207 geonames-dev-0.3.1-r1.apk 17-Apr-2024 17:02 2824 geonames-doc-0.3.1-r1.apk 17-Apr-2024 17:02 12634 geonames-lang-0.3.1-r1.apk 17-Apr-2024 17:02 4800780 getdns-1.7.3-r0.apk 22-Feb-2023 16:35 31562 getdns-dev-1.7.3-r0.apk 22-Feb-2023 16:35 34500 getdns-doc-1.7.3-r0.apk 22-Feb-2023 16:35 103877 getdns-libs-1.7.3-r0.apk 22-Feb-2023 16:35 194490 getmail6-6.18.14-r1.apk 15-Apr-2024 21:50 71530 getmail6-doc-6.18.14-r1.apk 15-Apr-2024 21:50 139465 getmail6-pyc-6.18.14-r1.apk 15-Apr-2024 21:50 103408 getssl-2.48-r0.apk 16-Feb-2024 13:59 83896 getting-things-gnome-0.6-r3.apk 15-Apr-2024 21:50 739943 getting-things-gnome-doc-0.6-r3.apk 15-Apr-2024 21:50 510190 getting-things-gnome-lang-0.6-r3.apk 15-Apr-2024 21:50 235622 gf2x-1.3.0-r0.apk 15-Feb-2021 09:40 43901 gf2x-dev-1.3.0-r0.apk 15-Feb-2021 09:40 68316 gfan-0.6.2-r1.apk 01-Aug-2023 12:38 1894908 gforth-0.7.3-r3.apk 15-Oct-2021 04:08 718982 gforth-doc-0.7.3-r3.apk 15-Oct-2021 04:08 280380 ghostcloud-0.9.9.5-r2.apk 30-Apr-2024 12:29 459922 ghq-1.6.1-r0.apk 05-May-2024 05:24 3409570 ghq-bash-completion-1.6.1-r0.apk 05-May-2024 05:24 1548 ghq-doc-1.6.1-r0.apk 05-May-2024 05:24 5284 ghq-fish-completion-1.6.1-r0.apk 05-May-2024 05:24 2259 ghq-zsh-completion-1.6.1-r0.apk 05-May-2024 05:24 2218 gimp-plugin-gmic-3.3.5-r0.apk 26-Apr-2024 13:48 1497180 ginac-1.8.7-r1.apk 28-Jan-2024 13:57 1232096 ginac-dev-1.8.7-r1.apk 28-Jan-2024 13:57 71329 ginac-doc-1.8.7-r1.apk 28-Jan-2024 13:57 100076 ginger-2.4.0-r7.apk 15-Apr-2024 21:50 262967 ginger-lang-2.4.0-r7.apk 15-Apr-2024 21:50 128190 ginger-pyc-2.4.0-r7.apk 15-Apr-2024 21:50 211535 gingerbase-2.3.0-r7.apk 15-Apr-2024 21:50 199645 gingerbase-lang-2.3.0-r7.apk 15-Apr-2024 21:50 53867 gingerbase-pyc-2.3.0-r7.apk 15-Apr-2024 21:50 62684 git-bug-0.8.0-r11.apk 07-Apr-2024 00:47 8877860 git-bug-bash-completion-0.8.0-r11.apk 07-Apr-2024 00:47 5056 git-bug-doc-0.8.0-r11.apk 07-Apr-2024 00:47 17635 git-bug-fish-completion-0.8.0-r11.apk 07-Apr-2024 00:47 3771 git-bug-zsh-completion-0.8.0-r11.apk 07-Apr-2024 00:47 3846 git-cola-4.4.1-r1.apk 15-Apr-2024 21:50 845774 git-cola-doc-4.4.1-r1.apk 15-Apr-2024 21:50 5758 git-cola-pyc-4.4.1-r1.apk 15-Apr-2024 21:50 756173 git-extras-7.1.0-r0.apk 30-Oct-2023 10:34 56945 git-extras-bash-completion-7.1.0-r0.apk 30-Oct-2023 10:34 2663 git-extras-doc-7.1.0-r0.apk 30-Oct-2023 10:34 64859 git-filter-repo-2.38.0-r0.apk 15-Oct-2023 13:20 45555 git-filter-repo-doc-2.38.0-r0.apk 15-Oct-2023 13:20 21906 git-quick-stats-2.5.5-r0.apk 29-Apr-2024 16:36 11028 git-quick-stats-doc-2.5.5-r0.apk 29-Apr-2024 16:36 2673 git-revise-0.7.0-r4.apk 15-Apr-2024 21:50 23436 git-revise-doc-0.7.0-r4.apk 15-Apr-2024 21:50 4835 git-revise-pyc-0.7.0-r4.apk 15-Apr-2024 21:50 42962 git-secret-0.5.0-r0.apk 02-Nov-2022 08:47 14840 git-secret-doc-0.5.0-r0.apk 02-Nov-2022 08:47 17207 git2json-0.2.3-r8.apk 15-Apr-2024 14:41 7335 git2json-pyc-0.2.3-r8.apk 15-Apr-2024 14:41 5562 glfw-wayland-3.3.8-r3.apk 04-Jul-2023 00:03 66056 glfw-wayland-dbg-3.3.8-r3.apk 04-Jul-2023 00:03 186561 glfw-wayland-dev-3.3.8-r3.apk 04-Jul-2023 00:03 46444 glmark2-2023.01-r0.apk 18-May-2023 21:55 8310676 glmark2-doc-2023.01-r0.apk 18-May-2023 21:55 12879 gloox-1.0.28-r0.apk 24-Nov-2023 00:25 387996 gloox-dev-1.0.28-r0.apk 24-Nov-2023 00:25 972914 glow-1.5.1-r6.apk 07-Apr-2024 00:47 6406029 glow-bash-completion-1.5.1-r6.apk 07-Apr-2024 00:47 4853 glow-fish-completion-1.5.1-r6.apk 07-Apr-2024 00:47 3749 glow-zsh-completion-1.5.1-r6.apk 07-Apr-2024 00:47 3837 glslviewer-3.2.4-r0.apk 13-Nov-2023 21:12 2050807 gmenuharness-0.1.4-r0.apk 05-Nov-2023 16:35 41105 gmenuharness-dev-0.1.4-r0.apk 05-Nov-2023 16:35 4116 gmic-3.3.5-r0.apk 26-Apr-2024 13:48 11905569 gmic-bash-completion-3.3.5-r0.apk 26-Apr-2024 13:48 28890 gmic-dev-3.3.5-r0.apk 26-Apr-2024 13:48 7692 gmic-doc-3.3.5-r0.apk 26-Apr-2024 13:48 223873 gmic-libs-3.3.5-r0.apk 26-Apr-2024 13:48 4949593 gmic-qt-3.3.5-r0.apk 26-Apr-2024 13:48 1836845 gmid-2.0.2-r0.apk 05-Apr-2024 23:22 238845 gmid-doc-2.0.2-r0.apk 05-Apr-2024 23:22 14375 gmid-openrc-2.0.2-r0.apk 05-Apr-2024 23:22 2095 gmsh-4.12.2-r1.apk 21-Apr-2024 23:52 10160515 gmsh-dbg-4.12.2-r1.apk 21-Apr-2024 23:52 153457473 gmsh-doc-4.12.2-r1.apk 21-Apr-2024 23:52 2038659 gmsh-py-4.12.2-r1.apk 21-Apr-2024 23:52 6660 gnome-common-3.18.0-r3.apk 29-Jan-2023 21:04 11543 gnome-metronome-1.3.0-r0.apk 14-Jun-2023 22:17 483474 gnome-metronome-lang-1.3.0-r0.apk 14-Jun-2023 22:17 25010 gnomecast-1.9.11_git20220423-r2.apk 24-Apr-2023 09:28 21445 gnomecast-pyc-1.9.11_git20220423-r2.apk 24-Apr-2023 09:28 44795 go-jsonnet-0.20.0-r6.apk 07-Apr-2024 00:47 5897901 go-mtpfs-1.0.0-r19.apk 07-Apr-2024 00:47 1119377 go-passbolt-cli-0.3.1-r0.apk 11-Apr-2024 20:53 6459207 go-swag-1.8.12-r4.apk 07-Apr-2024 00:47 4275760 gobuster-3.6.0-r4.apk 07-Apr-2024 00:47 3205347 gomp-1.0.0-r4.apk 07-Apr-2024 00:47 3275597 goomwwm-1.0.0-r4.apk 11-Apr-2024 22:06 49324 goreman-0.3.15-r5.apk 07-Apr-2024 00:47 2065312 gortr-0.14.8-r5.apk 07-Apr-2024 00:47 9468093 gortr-openrc-0.14.8-r5.apk 07-Apr-2024 00:47 1781 gosu-1.17-r2.apk 07-Apr-2024 00:47 1030662 gotestsum-1.11.0-r2.apk 07-Apr-2024 00:47 2266368 goxel-0.12.0-r0.apk 03-Apr-2023 02:52 1537489 gpa-0.10.0-r2.apk 02-Nov-2022 08:47 251862 gpa-doc-0.10.0-r2.apk 02-Nov-2022 08:47 2654 gpg-remailer-3.04.07-r0.apk 30-Dec-2022 11:44 42396 gpg-remailer-doc-3.04.07-r0.apk 30-Dec-2022 11:44 9461 gprbuild-22.0.0-r2.apk 14-May-2023 19:21 13204845 gpscorrelate-2.0_git20230605-r0.apk 15-Jun-2023 06:35 47983 gpscorrelate-cli-2.0_git20230605-r0.apk 15-Jun-2023 06:35 24010 gpscorrelate-doc-2.0_git20230605-r0.apk 15-Jun-2023 06:35 174858 gpscorrelate-lang-2.0_git20230605-r0.apk 15-Jun-2023 06:35 16590 granite7-7.4.0-r0.apk 23-Dec-2023 01:34 121681 granite7-dev-7.4.0-r0.apk 23-Dec-2023 01:34 45345 granite7-lang-7.4.0-r0.apk 23-Dec-2023 01:34 53218 grass-0.12.3-r1.apk 24-May-2023 07:48 908469 grcov-0.8.19-r1.apk 11-Sep-2023 14:52 1932420 greetd-mini-wl-greeter-0_git20230821-r0.apk 22-Aug-2023 10:23 20052 greetd-mini-wl-greeter-bash-completion-0_git202..> 22-Aug-2023 10:23 1981 greetd-mini-wl-greeter-doc-0_git20230821-r0.apk 22-Aug-2023 10:23 3089 greetd-regreet-0.1.1-r0.apk 11-Jun-2023 20:21 1001897 greetd-wlgreet-0.5.0-r0.apk 21-Apr-2024 23:52 660663 grip-4.2.4-r0.apk 26-Jan-2023 19:27 392421 grip-doc-4.2.4-r0.apk 26-Jan-2023 19:27 6059 grip-lang-4.2.4-r0.apk 26-Jan-2023 19:27 147622 grommunio-admin-api-1.14-r0.apk 26-Apr-2024 06:32 239459 grommunio-admin-api-bash-completion-1.14-r0.apk 26-Apr-2024 06:32 1933 grommunio-admin-api-doc-1.14-r0.apk 26-Apr-2024 06:32 24603 grommunio-admin-api-openrc-1.14-r0.apk 26-Apr-2024 06:32 1462 grommunio-admin-common-1.0_git20240118-r0.apk 26-Apr-2024 06:32 8909 grommunio-admin-web-2.9.0-r0.apk 26-Apr-2024 06:32 3693191 grommunio-common-1.0-r0.apk 26-Apr-2024 06:32 6437 grommunio-common-openrc-1.0-r0.apk 26-Apr-2024 06:32 1498 grommunio-dav-2.0_git20240118-r0.apk 26-Apr-2024 06:32 724197 grommunio-error-pages-1.0_git20231031-r0.apk 26-Apr-2024 06:32 23834 grommunio-gromox-2.27-r0.apk 26-Apr-2024 06:32 2731748 grommunio-gromox-dbg-2.27-r0.apk 26-Apr-2024 06:32 32537689 grommunio-gromox-dev-2.27-r0.apk 26-Apr-2024 06:32 1634 grommunio-gromox-doc-2.27-r0.apk 26-Apr-2024 06:32 115626 grommunio-gromox-openrc-2.27-r0.apk 26-Apr-2024 06:32 2273 grommunio-index-1.0-r0.apk 26-Apr-2024 06:32 46768 grommunio-mapi-header-php-1.3-r0.apk 26-Apr-2024 06:32 95778 grommunio-sync-2.0_git20240226-r0.apk 26-Apr-2024 06:32 330423 grommunio-web-3.7-r0.apk 26-Apr-2024 06:33 16231817 gron-0.7.1-r16.apk 07-Apr-2024 00:47 2275517 grpcui-1.4.1-r0.apk 28-Apr-2024 19:33 9085496 grpcurl-1.9.1-r0.apk 04-May-2024 11:32 7850864 gsettings-qt-0.2_git20220807-r0.apk 04-Nov-2023 16:55 48924 gsettings-qt-dev-0.2_git20220807-r0.apk 04-Nov-2023 16:55 3423 gsimplecal-2.5.1-r0.apk 10-Feb-2024 01:12 17264 gsimplecal-doc-2.5.1-r0.apk 10-Feb-2024 01:12 5677 gst-rtsp-server-1.22.11-r0.apk 01-Apr-2024 19:32 241735 gst-rtsp-server-dev-1.22.11-r0.apk 01-Apr-2024 19:32 92334 gstreamermm-1.10.0-r4.apk 02-Nov-2022 08:47 534661 gstreamermm-dev-1.10.0-r4.apk 02-Nov-2022 08:47 327640 gtk4-layer-shell-1.0.2-r0.apk 10-Nov-2023 23:00 15411 gtk4-layer-shell-demo-1.0.2-r0.apk 10-Nov-2023 23:00 10512 gtk4-layer-shell-dev-1.0.2-r0.apk 10-Nov-2023 23:00 9217 gtklock-2.1.0-r0.apk 18-Jul-2023 20:21 18007 gtklock-doc-2.1.0-r0.apk 18-Jul-2023 20:21 2699 gtksourceviewmm3-3.21.3-r2.apk 15-Apr-2023 23:16 121382 gtksourceviewmm3-dev-3.21.3-r2.apk 15-Apr-2023 23:16 57864 gtksourceviewmm3-devhelp-3.21.3-r2.apk 15-Apr-2023 23:16 28770 gtksourceviewmm3-doc-3.21.3-r2.apk 15-Apr-2023 23:17 1407852 gtksourceviewmm4-3.91.1-r2.apk 15-Apr-2023 23:17 117659 gtksourceviewmm4-dev-3.91.1-r2.apk 15-Apr-2023 23:17 55104 gtksourceviewmm4-devhelp-3.91.1-r2.apk 15-Apr-2023 23:17 26170 gtksourceviewmm4-doc-3.91.1-r2.apk 15-Apr-2023 23:18 1484088 gtkwave-3.3.117-r0.apk 16-Nov-2023 21:06 2671069 gtkwave-doc-3.3.117-r0.apk 16-Nov-2023 21:06 27528 guake-3.10-r1.apk 15-Apr-2024 21:50 312386 guake-lang-3.10-r1.apk 15-Apr-2024 21:50 192039 guake-pyc-3.10-r1.apk 15-Apr-2024 21:50 190117 guestfs-tools-1.52.0-r1.apk 15-Apr-2024 21:50 293680 guetzli-0_git20191025-r1.apk 02-Nov-2022 08:47 187446 guetzli-dev-0_git20191025-r1.apk 02-Nov-2022 08:47 2413946 gufw-24.04-r1.apk 15-Apr-2024 21:50 589998 gufw-doc-24.04-r1.apk 15-Apr-2024 21:50 4404 gufw-lang-24.04-r1.apk 15-Apr-2024 21:50 877105 gufw-pyc-24.04-r1.apk 15-Apr-2024 21:50 99121 gutenprint-5.3.4-r3.apk 21-May-2023 17:06 837374 gutenprint-cups-5.3.4-r3.apk 21-May-2023 17:06 51473838 gutenprint-dev-5.3.4-r3.apk 21-May-2023 17:06 36332 gutenprint-doc-5.3.4-r3.apk 21-May-2023 17:06 8342 gutenprint-lang-5.3.4-r3.apk 21-May-2023 17:06 1957738 gutenprint-libs-5.3.4-r3.apk 21-May-2023 17:06 636912 gutenprint-samples-5.3.4-r3.apk 21-May-2023 17:06 659757 gutenprint-static-5.3.4-r3.apk 21-May-2023 17:06 1010227 gx-0.14.3-r22.apk 07-Apr-2024 00:47 4353509 gx-doc-0.14.3-r22.apk 07-Apr-2024 00:47 2076 gx-go-1.9.0-r24.apk 07-Apr-2024 00:47 4605849 gx-go-doc-1.9.0-r24.apk 07-Apr-2024 00:47 2068 h4h5tools-2.2.5-r3.apk 05-May-2024 05:24 104917 h4h5tools-dev-2.2.5-r3.apk 05-May-2024 05:24 8700 h4h5tools-doc-2.2.5-r3.apk 05-May-2024 05:24 2520 h4h5tools-static-2.2.5-r3.apk 05-May-2024 05:24 116989 habitctl-0.1.0-r2.apk 24-May-2023 07:48 355069 hamster-time-tracker-3.0.3-r2.apk 15-Apr-2024 21:50 159304 hamster-time-tracker-bash-completion-3.0.3-r2.apk 15-Apr-2024 21:50 1752 hamster-time-tracker-doc-3.0.3-r2.apk 15-Apr-2024 21:50 118721 hamster-time-tracker-lang-3.0.3-r2.apk 15-Apr-2024 21:50 210369 hamster-time-tracker-pyc-3.0.3-r2.apk 15-Apr-2024 21:50 366461 handlebars-1.0.0-r1.apk 15-May-2023 20:51 110435 handlebars-dev-1.0.0-r1.apk 15-May-2023 20:51 32898 handlebars-utils-1.0.0-r1.apk 15-May-2023 20:51 9891 harminv-1.4.2-r1.apk 08-Oct-2023 17:25 8287 harminv-dev-1.4.2-r1.apk 08-Oct-2023 17:25 2950 harminv-doc-1.4.2-r1.apk 08-Oct-2023 17:25 5531 harminv-libs-1.4.2-r1.apk 08-Oct-2023 17:25 12931 hashcat-6.2.6-r0.apk 04-Sep-2022 23:50 72074701 hashcat-doc-6.2.6-r0.apk 04-Sep-2022 23:50 2253586 hatop-0.8.2-r0.apk 01-Aug-2022 17:46 18388 hatop-doc-0.8.2-r0.apk 01-Aug-2022 17:46 3065 havn-0.1.10-r0.apk 28-Apr-2024 22:04 468028 hctl-0.2.6-r0.apk 04-Mar-2024 22:31 1357182 hdf4-4.2.15-r1.apk 15-May-2023 20:51 261049 hdf4-dev-4.2.15-r1.apk 15-May-2023 20:51 102829 hdf4-doc-4.2.15-r1.apk 15-May-2023 20:51 5904 hdf4-tools-4.2.15-r1.apk 15-May-2023 20:51 225941 hdr10plus-tool-1.6.0-r0.apk 12-Jun-2023 15:20 831835 heh-0.5.0-r0.apk 10-Apr-2024 23:39 542436 heh-doc-0.5.0-r0.apk 10-Apr-2024 23:39 3919 helm-ls-0.0.12-r1.apk 07-Apr-2024 00:47 10552325 helm-ls-doc-0.0.12-r1.apk 07-Apr-2024 00:47 2049 helmfile-0.162.0-r2.apk 07-Apr-2024 00:48 31484008 helmfile-bash-completion-0.162.0-r2.apk 07-Apr-2024 00:48 4995 helmfile-doc-0.162.0-r2.apk 07-Apr-2024 00:48 2052 helmfile-fish-completion-0.162.0-r2.apk 07-Apr-2024 00:48 4174 helmfile-zsh-completion-0.162.0-r2.apk 07-Apr-2024 00:48 3885 helvum-0.5.1-r0.apk 30-Sep-2023 15:59 349062 herbe-1.0.0-r0.apk 10-Aug-2022 13:17 6143 hex-0.6.0-r0.apk 08-Jan-2024 09:44 331667 hexdiff-0.0.53-r2.apk 02-Nov-2022 08:47 16316 hexdiff-doc-0.0.53-r2.apk 02-Nov-2022 08:47 3553 hexedit-1.6_git20230905-r0.apk 04-Apr-2024 14:58 17859 hexedit-doc-1.6_git20230905-r0.apk 04-Apr-2024 14:58 5458 hexer-1.4.0-r14.apk 14-Nov-2023 18:40 63012 hexer-dev-1.4.0-r14.apk 14-Nov-2023 18:40 7795 hfst-3.16.0-r2.apk 15-Apr-2024 21:50 1489916 hfst-dev-3.16.0-r2.apk 15-Apr-2024 21:50 217363 hfst-doc-3.16.0-r2.apk 15-Apr-2024 21:50 72496 hfst-libs-3.16.0-r2.apk 15-Apr-2024 21:50 1816024 hiawatha-11.5-r0.apk 13-Apr-2024 17:05 232675 hiawatha-doc-11.5-r0.apk 13-Apr-2024 17:05 21346 hiawatha-letsencrypt-11.5-r0.apk 13-Apr-2024 17:05 17740 hiawatha-openrc-11.5-r0.apk 13-Apr-2024 17:05 1472 hidrd-0.2.0_git20190603-r1.apk 02-Nov-2022 08:47 81969 hidrd-dev-0.2.0_git20190603-r1.apk 02-Nov-2022 08:47 134904 highctidh-1.0.2024050100-r0.apk 03-May-2024 07:56 1361467 highctidh-dev-1.0.2024050100-r0.apk 03-May-2024 07:56 1398178 hikari-2.3.3-r6.apk 02-Feb-2024 21:18 969668 hikari-doc-2.3.3-r6.apk 02-Feb-2024 21:18 13640 hikari-unlocker-2.3.3-r6.apk 02-Feb-2024 21:18 4146 hilbish-2.2.3-r0.apk 04-May-2024 09:17 3271663 hilbish-doc-2.2.3-r0.apk 04-May-2024 09:17 26162 hiprompt-gtk-py-0_git20230225-r0.apk 25-Feb-2023 16:56 6979 hitide-0.15.0-r0.apk 29-Mar-2024 01:23 2092082 hitide-openrc-0.15.0-r0.apk 29-Mar-2024 01:23 1923 homebank-5.7.4-r0.apk 18-Feb-2024 20:23 1921280 homebank-lang-5.7.4-r0.apk 18-Feb-2024 20:23 927565 hopalong-0.1-r3.apk 21-Oct-2022 21:53 23922 horizon-0.9.6-r9.apk 22-Apr-2024 18:58 216285 horizon-dbg-0.9.6-r9.apk 22-Apr-2024 18:58 4194509 horizon-dev-0.9.6-r9.apk 22-Apr-2024 18:58 4731 horizon-doc-0.9.6-r9.apk 22-Apr-2024 18:58 21700 horizon-image-0.9.6-r9.apk 22-Apr-2024 18:58 70758 horizon-tools-0.9.6-r9.apk 22-Apr-2024 18:58 86076 horust-0.1.7-r1.apk 24-May-2023 07:48 1130792 horust-doc-0.1.7-r1.apk 24-May-2023 07:48 9150 howard-bc-6.7.5-r0.apk 08-Jan-2024 09:44 70483 howard-bc-doc-6.7.5-r0.apk 08-Jan-2024 09:44 39204 hping3-20051105-r4.apk 13-Jul-2017 14:27 70535 hping3-doc-20051105-r4.apk 13-Jul-2017 14:27 17270 hpnssh-18.4.0-r0.apk 29-Apr-2024 12:07 2285543 hpnssh-doc-18.4.0-r0.apk 29-Apr-2024 12:07 100771 hsetroot-1.0.5-r1.apk 02-Nov-2022 08:47 12064 hstdb-2.1.0-r2.apk 24-May-2023 07:48 945728 htmlcxx-0.87-r1.apk 14-Oct-2022 16:16 67229 htmlcxx-dev-0.87-r1.apk 14-Oct-2022 16:16 21115 htmldoc-1.9.18-r0.apk 12-Feb-2024 10:51 2448409 htmldoc-doc-1.9.18-r0.apk 12-Feb-2024 10:51 100173 htslib-1.19-r0.apk 12-Dec-2023 17:44 412028 htslib-dev-1.19-r0.apk 12-Dec-2023 17:44 117821 htslib-doc-1.19-r0.apk 12-Dec-2023 17:44 22933 htslib-static-1.19-r0.apk 12-Dec-2023 17:44 539718 htslib-tools-1.19-r0.apk 12-Dec-2023 17:44 1350906 httpie-oauth-1.0.2-r9.apk 15-Apr-2024 21:51 3178 httpie-oauth-pyc-1.0.2-r9.apk 15-Apr-2024 21:51 2099 httrack-3.49.2-r5.apk 15-May-2023 20:51 778240 httrack-doc-3.49.2-r5.apk 15-May-2023 20:51 540359 hub-2.14.2-r22.apk 07-Apr-2024 00:48 2583854 hub-bash-completion-2.14.2-r22.apk 07-Apr-2024 00:48 4449 hub-doc-2.14.2-r22.apk 07-Apr-2024 00:48 44252 hub-fish-completion-2.14.2-r22.apk 07-Apr-2024 00:48 3128 hub-zsh-completion-2.14.2-r22.apk 07-Apr-2024 00:48 3547 hubble-cli-0.13.0-r2.apk 07-Apr-2024 00:48 17271148 hubble-cli-bash-completion-0.13.0-r2.apk 07-Apr-2024 00:48 4932 hubble-cli-fish-completion-0.13.0-r2.apk 07-Apr-2024 00:48 4181 hubble-cli-zsh-completion-0.13.0-r2.apk 07-Apr-2024 00:48 3897 hunspell-ca-es-3.0.7-r0.apk 04-Dec-2022 12:25 748535 hunspell-es-ar-2.7-r0.apk 05-Jun-2023 14:06 231659 hunspell-es-ar-doc-2.7-r0.apk 05-Jun-2023 14:06 2617 hurl-4.3.0-r0.apk 05-May-2024 05:24 2858429 hurl-doc-4.3.0-r0.apk 05-May-2024 05:24 8075 hw-probe-1.6.5-r1.apk 04-Jul-2023 00:01 119782 hwatch-0.3.11-r0.apk 18-Mar-2024 01:01 1069282 hwatch-doc-0.3.11-r0.apk 18-Mar-2024 01:01 2849 hwatch-fish-completion-0.3.11-r0.apk 18-Mar-2024 01:01 1595 hwatch-zsh-completion-0.3.11-r0.apk 18-Mar-2024 01:01 1706 hx-1.0.14-r0.apk 04-Nov-2023 10:25 15711 hx-doc-1.0.14-r0.apk 04-Nov-2023 10:25 4628 hy-0.28.0-r1.apk 15-Apr-2024 21:51 82007 hy-pyc-0.28.0-r1.apk 15-Apr-2024 21:51 172388 hyperlink-0.1.32-r0.apk 03-Apr-2024 01:00 580563 hypnotix-3.5-r0.apk 18-Jul-2023 00:18 112429 hypnotix-lang-3.5-r0.apk 18-Jul-2023 00:18 73882 hyprcursor-0.1.7-r0.apk 23-Apr-2024 08:03 97669 hyprcursor-dev-0.1.7-r0.apk 23-Apr-2024 08:03 4700 hyprcursor-doc-0.1.7-r0.apk 23-Apr-2024 08:03 4920 hyprcursor-util-0.1.7-r0.apk 23-Apr-2024 08:03 63356 hyprland-0.39.1-r0.apk 23-Apr-2024 08:03 1451791 hyprland-dev-0.39.1-r0.apk 23-Apr-2024 08:03 829141 hyprland-doc-0.39.1-r0.apk 23-Apr-2024 08:03 3256 hyprland-wallpapers-0.39.1-r0.apk 23-Apr-2024 08:03 47134648 hyx-2021.06.09-r0.apk 17-Jan-2023 18:52 17472 i2util-4.2.1-r1.apk 02-Nov-2022 08:47 23947 i2util-dev-4.2.1-r1.apk 02-Nov-2022 08:47 49432 i2util-doc-4.2.1-r1.apk 02-Nov-2022 08:47 4561 i3status-rust-0.33.1-r0.apk 08-Apr-2024 19:56 3981591 i3status-rust-doc-0.33.1-r0.apk 08-Apr-2024 19:56 31558 ibus-rime-1.5.0-r1.apk 18-Sep-2023 11:42 26052 icestorm-0_git20231212-r0.apk 12-Jan-2024 02:24 17360544 icingaweb2-module-businessprocess-2.4.0-r0.apk 15-Aug-2022 15:56 119188 icingaweb2-module-businessprocess-doc-2.4.0-r0.apk 15-Aug-2022 15:56 2274050 icingaweb2-module-fileshipper-1.2.0-r3.apk 04-Dec-2023 08:25 10808 icingaweb2-module-fileshipper-doc-1.2.0-r3.apk 04-Dec-2023 08:25 236120 icingaweb2-module-generictts-2.1.0-r0.apk 12-Jan-2023 15:57 6492 icingaweb2-module-generictts-doc-2.1.0-r0.apk 12-Jan-2023 15:57 1598 icingaweb2-module-pnp-1.1.0-r1.apk 12-Oct-2018 19:49 9233 icingaweb2-module-pnp-doc-1.1.0-r1.apk 12-Oct-2018 19:49 1594 icmake-9.03.01-r0.apk 06-Oct-2023 15:44 137057 icmake-doc-9.03.01-r0.apk 06-Oct-2023 15:44 130199 idesk-1-r1.apk 14-Oct-2022 16:16 73829 ideviceinstaller-1.1.1-r3.apk 15-May-2023 02:29 14840 ideviceinstaller-doc-1.1.1-r3.apk 15-May-2023 02:29 2292 idevicerestore-1.0.0-r3.apk 27-May-2023 09:50 84839 idevicerestore-doc-1.0.0-r3.apk 27-May-2023 09:50 2384 ifuse-1.1.4-r4.apk 13-Aug-2023 19:16 10466 ifuse-doc-1.1.4-r4.apk 13-Aug-2023 19:16 2174 igrep-1.2.0-r0.apk 09-Aug-2023 15:40 1732386 igrep-doc-1.2.0-r0.apk 09-Aug-2023 15:40 3991 iipsrv-1.2-r0.apk 05-Oct-2023 05:25 125094 iipsrv-apache-1.2-r0.apk 05-Oct-2023 05:25 1396 iipsrv-doc-1.2-r0.apk 05-Oct-2023 05:25 6287 iipsrv-lighttpd-1.2-r0.apk 05-Oct-2023 05:25 1426 iipsrv-openrc-1.2-r0.apk 05-Oct-2023 05:25 1751 iir1-1.9.4-r0.apk 08-Jan-2023 08:35 18880 iir1-dev-1.9.4-r0.apk 08-Jan-2023 08:35 44630 iir1-doc-1.9.4-r0.apk 08-Jan-2023 08:35 1455736 ijq-1.1.0-r0.apk 15-Apr-2024 11:46 1358125 ijq-doc-1.1.0-r0.apk 15-Apr-2024 11:46 3328 imapfilter-2.8.2-r0.apk 31-Dec-2023 19:40 43661 imapfilter-doc-2.8.2-r0.apk 31-Dec-2023 19:40 12872 imediff-2.6-r1.apk 15-Apr-2024 21:51 42317 imediff-doc-2.6-r1.apk 15-Apr-2024 21:51 6422 imediff-pyc-2.6-r1.apk 15-Apr-2024 21:51 44443 imgdiff-1.0.2-r18.apk 07-Apr-2024 00:48 926423 imgdiff-doc-1.0.2-r18.apk 07-Apr-2024 00:48 2061 imrsh-0_git20210320-r1.apk 02-Nov-2022 08:47 9232 imrsh-dbg-0_git20210320-r1.apk 02-Nov-2022 08:47 19316 initify-0_git20171210-r1.apk 02-Nov-2022 08:47 3094 innernet-1.6.1-r0.apk 23-Feb-2024 19:45 3014218 innernet-bash-completion-1.6.1-r0.apk 23-Feb-2024 19:45 3647 innernet-doc-1.6.1-r0.apk 23-Feb-2024 19:45 8982 innernet-fish-completion-1.6.1-r0.apk 23-Feb-2024 19:45 4375 innernet-openrc-1.6.1-r0.apk 23-Feb-2024 19:45 2089 innernet-zsh-completion-1.6.1-r0.apk 23-Feb-2024 19:45 5315 interception-tools-0.6.8-r2.apk 22-Apr-2024 18:58 118630 interception-tools-openrc-0.6.8-r2.apk 22-Apr-2024 18:58 1461 ip2location-8.6.1-r0.apk 06-Jun-2023 19:00 27178 ip2location-dev-8.6.1-r0.apk 06-Jun-2023 19:00 13325 ip2location-doc-8.6.1-r0.apk 06-Jun-2023 19:00 2509 iprange-1.0.4-r1.apk 02-Nov-2022 08:47 20752 iprange-doc-1.0.4-r1.apk 02-Nov-2022 08:47 4375 irccd-4.0.3-r0.apk 29-Jul-2023 20:02 286545 irccd-dev-4.0.3-r0.apk 29-Jul-2023 20:02 9587 irccd-doc-4.0.3-r0.apk 29-Jul-2023 20:02 82034 irccd-openrc-4.0.3-r0.apk 29-Jul-2023 20:02 1600 ircd-hybrid-8.2.43-r0.apk 08-Jun-2023 20:45 443148 ircd-hybrid-doc-8.2.43-r0.apk 08-Jun-2023 20:45 3470 isoinfo-0_git20131217-r1.apk 02-Nov-2022 08:47 6831 isomd5sum-1.2.3-r2.apk 27-Nov-2022 16:49 32383 isomd5sum-doc-1.2.3-r2.apk 27-Nov-2022 16:49 2805 itd-1.1.0-r5.apk 07-Apr-2024 00:48 8863091 j4-dmenu-desktop-2.18-r1.apk 06-Aug-2022 12:48 51510 jack_capture-0.9.73_git20210429-r2.apk 01-Jul-2022 02:21 37298 jackal-0.64.0-r7.apk 07-Apr-2024 00:48 11050555 jackal-openrc-0.64.0-r7.apk 07-Apr-2024 00:48 1616 jackdaw-0.3.1-r1.apk 15-Apr-2024 21:51 2081124 jackdaw-pyc-0.3.1-r1.apk 15-Apr-2024 21:51 378927 jackline-0.1.0-r3.apk 22-Apr-2024 06:21 4312730 jalv-1.6.8-r1.apk 29-Jul-2023 20:02 53580 jalv-doc-1.6.8-r1.apk 29-Jul-2023 20:02 2986 jalv-gtk-1.6.8-r1.apk 29-Jul-2023 20:02 35191 jaq-1.3.0-r0.apk 05-Feb-2024 06:03 1330567 java-gdcm-3.0.23-r2.apk 15-Apr-2024 21:51 646417 java-jtharness-6.0_p12-r0.apk 05-Oct-2022 19:12 4232099 java-jtharness-doc-6.0_p12-r0.apk 05-Oct-2022 19:12 11842 java-jtharness-examples-6.0_p12-r0.apk 05-Oct-2022 19:12 224131 java-jtreg-7.3.1_p1-r0.apk 22-Oct-2023 08:34 4642188 jbigkit-2.1-r2.apk 02-Nov-2022 08:47 67730 jbigkit-dev-2.1-r2.apk 02-Nov-2022 08:47 31597 jbigkit-doc-2.1-r2.apk 02-Nov-2022 08:47 7248 jdebp-redo-1.4-r1.apk 11-Jun-2022 21:17 101180 jdebp-redo-doc-1.4-r1.apk 11-Jun-2022 21:17 12411 jdupes-1.27.3-r0.apk 27-Aug-2023 20:24 28214 jdupes-doc-1.27.3-r0.apk 27-Aug-2023 20:24 7887 jedi-language-server-0.41.4-r0.apk 17-Apr-2024 13:39 26921 jedi-language-server-pyc-0.41.4-r0.apk 17-Apr-2024 13:39 38020 jfrog-cli-2.45.0-r4.apk 07-Apr-2024 00:48 8904138 jhead-3.08-r0.apk 23-Jul-2023 13:58 33824 jhead-doc-3.08-r0.apk 23-Jul-2023 13:58 7822 jitsi-videobridge-2.3.67-r0.apk 28-Mar-2024 20:30 44094022 jitsi-videobridge-openrc-2.3.67-r0.apk 28-Mar-2024 20:30 2393 jrsonnet-cli-0.4.2-r1.apk 24-May-2023 07:48 612371 jshn-20230523-r0.apk 06-Aug-2023 19:59 9849 jsmn-1.1.0-r2.apk 19-Jan-2024 17:04 4570 json2tsv-1.1-r0.apk 22-Jul-2023 13:54 6938 json2tsv-doc-1.1-r0.apk 22-Jul-2023 13:54 5122 json2tsv-jaq-1.1-r0.apk 22-Jul-2023 13:54 1705 json2tsv-jaq-doc-1.1-r0.apk 22-Jul-2023 13:54 2143 jsonnet-bundler-0.5.1-r12.apk 07-Apr-2024 00:48 2908159 jsonnet-language-server-0.13.1-r2.apk 07-Apr-2024 00:48 4078390 junit2html-0.2.0-r3.apk 15-Apr-2024 21:51 13606 junit2html-pyc-0.2.0-r3.apk 15-Apr-2024 21:51 24565 k2-0_git20220807-r1.apk 01-Aug-2023 12:38 102592 k3sup-0.13.5-r2.apk 07-Apr-2024 00:48 2426749 k3sup-bash-completion-0.13.5-r2.apk 07-Apr-2024 00:48 4923 k3sup-fish-completion-0.13.5-r2.apk 07-Apr-2024 00:48 4165 k3sup-zsh-completion-0.13.5-r2.apk 07-Apr-2024 00:48 3881 kabmat-2.7.0-r0.apk 07-May-2023 06:40 62327 kabmat-doc-2.7.0-r0.apk 07-May-2023 06:40 3354 kak-lsp-15.0.1-r0.apk 03-Jan-2024 18:10 2283714 kanister-tools-0.107.0-r0.apk 04-May-2024 11:26 58442727 kanister-tools-bash-completion-0.107.0-r0.apk 04-May-2024 11:26 5439 kanister-tools-fish-completion-0.107.0-r0.apk 04-May-2024 11:26 4752 kanister-tools-zsh-completion-0.107.0-r0.apk 04-May-2024 11:26 4234 kannel-1.5.0-r11.apk 29-Apr-2023 21:47 6626142 kannel-dev-1.5.0-r11.apk 29-Apr-2023 21:47 996932 kannel-doc-1.5.0-r11.apk 29-Apr-2023 21:47 6079 kapow-0.7.1-r5.apk 07-Apr-2024 00:48 3216945 katana-1.1.0-r1.apk 07-Apr-2024 00:48 12260821 katarakt-0.2-r0.apk 02-Feb-2022 03:38 94645 kbs2-0.7.2-r3.apk 29-Jul-2023 20:02 1151525 kbs2-bash-completion-0.7.2-r3.apk 29-Jul-2023 20:02 2920 kbs2-fish-completion-0.7.2-r3.apk 29-Jul-2023 20:02 3047 kbs2-zsh-completion-0.7.2-r3.apk 29-Jul-2023 20:02 3822 kdiskmark-3.1.4-r1.apk 17-Oct-2023 16:44 167707 kdiskmark-lang-3.1.4-r1.apk 17-Oct-2023 16:44 27060 keepassxc-browser-1.8.9-r0.apk 06-Nov-2023 21:40 897228 kerberoast-0.2.0-r1.apk 15-Apr-2024 21:51 9585 kerberoast-pyc-0.2.0-r1.apk 15-Apr-2024 21:51 15304 keybase-client-6.2.8-r2.apk 07-Apr-2024 00:48 17983418 keystone-0.9.2-r6.apk 15-Apr-2024 21:51 1431995 keystone-dev-0.9.2-r6.apk 15-Apr-2024 21:51 7216 keystone-python-0.9.2-r6.apk 15-Apr-2024 21:51 1687669 keystone-python-pyc-0.9.2-r6.apk 15-Apr-2024 21:51 9642 kfc-0.1.4-r0.apk 02-Jun-2023 23:50 58990 khinsider-2.0.7-r12.apk 07-Apr-2024 00:48 3157553 khronos-4.0.1-r0.apk 15-Oct-2023 13:20 56814 khronos-lang-4.0.1-r0.apk 15-Oct-2023 13:20 26079 kimchi-3.0.0-r7.apk 15-Apr-2024 21:51 548524 kimchi-lang-3.0.0-r7.apk 15-Apr-2024 21:51 176140 kimchi-pyc-3.0.0-r7.apk 15-Apr-2024 21:51 386797 kind-0.22.0-r2.apk 07-Apr-2024 00:48 2399823 kind-bash-completion-0.22.0-r2.apk 07-Apr-2024 00:48 5645 kind-fish-completion-0.22.0-r2.apk 07-Apr-2024 00:48 3706 kind-zsh-completion-0.22.0-r2.apk 07-Apr-2024 00:48 3546 kine-0.10.1-r4.apk 07-Apr-2024 00:48 7540276 kine-doc-0.10.1-r4.apk 07-Apr-2024 00:48 5022 kirc-0.3.2-r0.apk 23-May-2023 12:48 11628 kirc-doc-0.3.2-r0.apk 23-May-2023 12:48 2431 kismet-0.202307.1-r2.apk 15-Nov-2023 14:38 12412137 kismet-linux-bluetooth-0.202307.1-r2.apk 15-Nov-2023 14:38 46621 kismet-linux-wifi-0.202307.1-r2.apk 15-Nov-2023 14:38 65923 kismet-logtools-0.202307.1-r2.apk 15-Nov-2023 14:38 1201724 kismet-nrf-51822-0.202307.1-r2.apk 15-Nov-2023 14:38 43090 kismet-nxp-kw41z-0.202307.1-r2.apk 15-Nov-2023 14:38 44798 kjv-0_git20221103-r0.apk 25-Sep-2023 04:50 1615292 kkc-package-data-0.3.5-r3.apk 28-Apr-2024 06:12 11636 knative-client-1.14.0-r0.apk 04-May-2024 11:20 20812013 knative-client-bash-completion-1.14.0-r0.apk 04-May-2024 11:20 9997 knative-client-zsh-completion-1.14.0-r0.apk 04-May-2024 11:20 3861 knxd-0.14.59-r0.apk 21-Sep-2023 22:53 436545 knxd-dev-0.14.59-r0.apk 21-Sep-2023 22:53 24990 ko-0.15.2-r1.apk 07-Apr-2024 00:48 8483137 ko-bash-completion-0.15.2-r1.apk 07-Apr-2024 00:48 4910 ko-fish-completion-0.15.2-r1.apk 07-Apr-2024 00:48 4134 ko-zsh-completion-0.15.2-r1.apk 07-Apr-2024 00:48 3862 komikku-1.39.0-r1.apk 18-Apr-2024 15:01 854406 komikku-lang-1.39.0-r1.apk 18-Apr-2024 15:01 159835 komikku-pyc-1.39.0-r1.apk 18-Apr-2024 15:01 580807 kompose-1.31.2-r2.apk 07-Apr-2024 00:48 6696582 kompose-bash-completion-1.31.2-r2.apk 07-Apr-2024 00:48 5469 kompose-fish-completion-1.31.2-r2.apk 07-Apr-2024 00:48 4214 kompose-zsh-completion-1.31.2-r2.apk 07-Apr-2024 00:48 6683 kondo-0.8-r0.apk 20-Dec-2023 22:45 741553 kondo-bash-completion-0.8-r0.apk 20-Dec-2023 22:45 1883 kondo-fish-completion-0.8-r0.apk 20-Dec-2023 22:45 1877 kondo-zsh-completion-0.8-r0.apk 20-Dec-2023 22:45 2246 kopia-0.15.0-r2.apk 07-Apr-2024 00:49 12471957 kopia-bash-completion-0.15.0-r2.apk 07-Apr-2024 00:49 1550 kopia-zsh-completion-0.15.0-r2.apk 07-Apr-2024 00:49 1571 krita-plugin-gmic-3.2.4.1-r3.apk 23-Mar-2024 13:04 2938813 kube-no-trouble-0.7.2-r1.apk 07-Apr-2024 00:49 12757114 kubectl-cert-manager-1.14.4-r2.apk 07-Apr-2024 00:49 21578790 kubectl-krew-0.4.4-r4.apk 07-Apr-2024 00:49 4205126 kubectl-oidc_login-1.28.0-r5.apk 07-Apr-2024 00:49 4889248 kubeone-1.7.4-r0.apk 04-May-2024 11:20 23048337 kubeone-bash-completion-1.7.4-r0.apk 04-May-2024 11:20 6458 kubeone-doc-1.7.4-r0.apk 04-May-2024 11:20 20214 kubeone-zsh-completion-1.7.4-r0.apk 04-May-2024 11:20 3822 kubepug-1.7.1-r2.apk 07-Apr-2024 00:49 15805810 kubepug-bash-completion-1.7.1-r2.apk 07-Apr-2024 00:49 4993 kubepug-fish-completion-1.7.1-r2.apk 07-Apr-2024 00:49 4186 kubepug-zsh-completion-1.7.1-r2.apk 07-Apr-2024 00:49 3894 kubesplit-0.3.3-r1.apk 15-Apr-2024 21:51 12711 kubesplit-pyc-0.3.3-r1.apk 15-Apr-2024 21:51 13004 laze-0.1.21-r0.apk 13-Feb-2024 22:23 1040960 laze-bash-completion-0.1.21-r0.apk 13-Feb-2024 22:23 2495 laze-doc-0.1.21-r0.apk 13-Feb-2024 22:23 3214 laze-fish-completion-0.1.21-r0.apk 13-Feb-2024 22:23 2390 laze-zsh-completion-0.1.21-r0.apk 13-Feb-2024 22:23 2840 lazymc-0.2.11-r0.apk 24-Mar-2024 16:10 1231872 lazymc-openrc-0.2.11-r0.apk 24-Mar-2024 16:10 1805 lcalc-2.0.5-r1.apk 15-Mar-2023 12:17 189005 lcalc-dev-2.0.5-r1.apk 15-Mar-2023 12:17 58257 lcalc-doc-2.0.5-r1.apk 15-Mar-2023 12:17 447411 lcalc-libs-2.0.5-r1.apk 15-Mar-2023 12:17 215626 lcov-2.0-r2.apk 15-Jan-2024 09:42 209945 lcov-doc-2.0-r2.apk 15-Jan-2024 09:42 52779 ldapdomaindump-0.9.4-r1.apk 15-Apr-2024 21:51 18496 ldapdomaindump-pyc-0.9.4-r1.apk 15-Apr-2024 21:51 31175 ledmon-0.97-r1.apk 18-Dec-2023 10:41 78674 ledmon-doc-0.97-r1.apk 18-Dec-2023 10:41 13292 legume-1.4.2-r1.apk 07-Apr-2024 00:49 1353895 legume-doc-1.4.2-r1.apk 07-Apr-2024 00:49 12398 lemonbar-1.4-r1.apk 02-Nov-2022 08:47 14943 lemonbar-doc-1.4-r1.apk 02-Nov-2022 08:47 5701 leptosfmt-0.1.18-r0.apk 19-Jan-2024 15:23 969200 leptosfmt-doc-0.1.18-r0.apk 19-Jan-2024 15:23 6052 level-zero-1.16.15-r0.apk 27-Apr-2024 21:48 210483 level-zero-dev-1.16.15-r0.apk 27-Apr-2024 21:48 317597 levmar-dev-2.6-r0.apk 06-Apr-2022 12:15 52077 lfm-3.1-r4.apk 15-Apr-2024 21:51 89975 lfm-doc-3.1-r4.apk 15-Apr-2024 21:51 2565 lfm-pyc-3.1-r4.apk 15-Apr-2024 21:51 136661 lgogdownloader-3.12-r2.apk 22-Apr-2024 18:58 374897 lgogdownloader-doc-3.12-r2.apk 22-Apr-2024 18:58 8096 libabigail-2.3-r0.apk 04-May-2023 07:46 886524 libabigail-bash-completion-2.3-r0.apk 04-May-2023 07:46 2770 libabigail-dev-2.3-r0.apk 04-May-2023 07:46 1380986 libabigail-doc-2.3-r0.apk 04-May-2023 07:46 62447 libabigail-tools-2.3-r0.apk 04-May-2023 07:46 113542 libantic-0.2.5-r0.apk 02-Nov-2022 08:47 51151 libantic-dev-0.2.5-r0.apk 02-Nov-2022 08:47 6237 libantlr3c-3.4-r3.apk 15-May-2023 20:51 61816 libantlr3c-dev-3.4-r3.apk 15-May-2023 20:51 59510 libarb-2.23.0-r2.apk 01-Aug-2023 12:38 1790258 libarb-dev-2.23.0-r2.apk 01-Aug-2023 12:38 54912 libarb-static-2.23.0-r2.apk 01-Aug-2023 12:38 2364579 libaudec-0.3.4-r3.apk 29-Jul-2023 20:02 28884 libaudec-dev-0.3.4-r3.apk 29-Jul-2023 20:02 4118 libaudec-static-0.3.4-r3.apk 29-Jul-2023 20:02 32476 libaudec-tools-0.3.4-r3.apk 29-Jul-2023 20:02 29009 libbamf-0.5.6-r1.apk 20-Oct-2023 07:21 163707 libbamf-dev-0.5.6-r1.apk 20-Oct-2023 07:21 6303 libbamf-doc-0.5.6-r1.apk 20-Oct-2023 07:21 31558 libblobmsg-20230523-r0.apk 06-Aug-2023 19:59 13077 libbloom-2.0-r0.apk 05-Jun-2023 21:59 6202 libbloom-dev-2.0-r0.apk 05-Jun-2023 21:59 3294 libbraiding-1.2-r2.apk 01-Aug-2023 12:38 52187 libbraiding-dev-1.2-r2.apk 01-Aug-2023 12:38 15066 libbsoncxx-3.8.0-r0.apk 19-Aug-2023 06:40 46628 libbsoncxx-dev-3.8.0-r0.apk 19-Aug-2023 06:40 39339 libcli-1.10.7-r0.apk 21-Mar-2021 07:50 37221 libcork-0.15.0-r7.apk 07-Dec-2023 15:23 38174 libcork-dev-0.15.0-r7.apk 07-Dec-2023 15:23 30437 libcork-tools-0.15.0-r7.apk 07-Dec-2023 15:23 4881 libcorkipset-1.1.1-r4.apk 30-Oct-2023 10:34 14908 libcorkipset-dev-1.1.1-r4.apk 30-Oct-2023 10:34 8022 libcorkipset-tools-1.1.1-r4.apk 30-Oct-2023 10:34 16609 libcotp-2.0.2-r0.apk 28-Oct-2023 09:40 8125 libcotp-dev-2.0.2-r0.apk 28-Oct-2023 09:40 2327 libcryptmount-2.20-r0.apk 23-Dec-2023 02:34 11272 libcrypto1.1-1.1.1w-r0.apk 12-Sep-2023 11:29 1090844 libctl-4.5.1-r1.apk 15-May-2023 20:51 102197 libctl-dev-4.5.1-r1.apk 15-May-2023 20:51 39212 libctl-doc-4.5.1-r1.apk 15-May-2023 20:51 2822 libcyaml-1.4.1-r2.apk 11-Jan-2024 21:56 22015 libcyaml-dev-1.4.1-r2.apk 11-Jan-2024 21:56 12905 libcyaml-doc-1.4.1-r2.apk 11-Jan-2024 21:56 8603 libcyaml-static-1.4.1-r2.apk 11-Jan-2024 21:56 25408 libdatrie-0.2.13-r0.apk 27-Jan-2022 00:31 7930 libdatrie-dev-0.2.13-r0.apk 27-Jan-2022 00:31 23079 libdatrie-doc-0.2.13-r0.apk 27-Jan-2022 00:31 3546 libdatrie-libs-0.2.13-r0.apk 27-Jan-2022 00:31 15443 libdcmtk-3.6.8-r0.apk 13-Jan-2024 23:09 6824724 libdng-0.1.1-r0.apk 21-Jan-2024 22:37 11241 libdng-dev-0.1.1-r0.apk 21-Jan-2024 22:37 2996 libdng-doc-0.1.1-r0.apk 21-Jan-2024 22:37 4136 libdng-utils-0.1.1-r0.apk 21-Jan-2024 22:37 6339 libeantic-2.0.2-r1.apk 22-Apr-2024 18:58 81498 libeantic-dev-2.0.2-r1.apk 22-Apr-2024 18:58 17711 libecap-1.0.1-r1.apk 15-May-2023 20:51 13615 libecap-dev-1.0.1-r1.apk 15-May-2023 20:51 11431 libecap-static-1.0.1-r1.apk 15-May-2023 20:51 18450 libecm-7.0.5-r1.apk 15-May-2023 20:51 253407 libemf2svg-1.1.0-r2.apk 02-Nov-2022 08:47 167739 libemf2svg-utils-1.1.0-r2.apk 02-Nov-2022 08:47 20335 liberasurecode-1.6.3-r1.apk 15-May-2023 20:51 45963 liberasurecode-dev-1.6.3-r1.apk 15-May-2023 20:51 18438 libettercap-0.8.3.1-r2.apk 21-Oct-2022 21:53 206314 libexmdbpp-1.11-r0.apk 26-Apr-2024 06:33 71714 libexmdbpp-dev-1.11-r0.apk 26-Apr-2024 06:33 24350 libfishsound-1.0.0-r1.apk 09-Aug-2020 23:25 10934 libfishsound-dev-1.0.0-r1.apk 09-Aug-2020 23:25 58042 libfishsound-doc-1.0.0-r1.apk 09-Aug-2020 23:25 77128 libfoma-0.10.0_git20221230-r0.apk 13-Jun-2023 17:29 113213 libfort-0.4.2-r0.apk 03-Oct-2021 06:14 32367 libfort-dev-0.4.2-r0.apk 03-Oct-2021 06:14 17849 libfyaml-0.9-r0.apk 21-Dec-2023 22:37 307367 libfyaml-dev-0.9-r0.apk 21-Dec-2023 22:37 43105 libfyaml-doc-0.9-r0.apk 21-Dec-2023 22:37 7331 libgdcm-3.0.23-r2.apk 15-Apr-2024 21:51 2600753 libgivaro-4.2.0-r2.apk 01-Aug-2023 12:38 80615 libgivaro-dev-4.2.0-r2.apk 01-Aug-2023 12:38 250043 libgivaro-static-4.2.0-r2.apk 01-Aug-2023 12:38 101874 libgrapheme-1-r0.apk 28-Jan-2022 23:10 10527 libgrapheme-dev-1-r0.apk 28-Jan-2022 23:10 10212 libgrapheme-doc-1-r0.apk 28-Jan-2022 23:10 8157 libguestfs-1.52.0-r1.apk 15-Apr-2024 21:51 310102 libguestfs-dev-1.52.0-r1.apk 15-Apr-2024 21:51 29752 libguestfs-doc-1.52.0-r1.apk 15-Apr-2024 21:51 572995 libguestfs-static-1.52.0-r1.apk 15-Apr-2024 21:51 519796 libhomfly-1.02_p6-r1.apk 29-Mar-2024 11:24 19735 libhomfly-dev-1.02_p6-r1.apk 29-Mar-2024 11:24 23842 libhwpwm-0.4.4-r0.apk 29-Sep-2023 15:19 5856 libhwpwm-dev-0.4.4-r0.apk 29-Sep-2023 15:19 5960 libhwpwm-doc-0.4.4-r0.apk 29-Sep-2023 15:19 13092 libhx-4.21-r0.apk 23-Dec-2023 02:34 40530 libhx-dev-4.21-r0.apk 23-Dec-2023 02:34 14887 libibmad-1.3.13-r2.apk 15-May-2023 20:51 32258 libibmad-dev-1.3.13-r2.apk 15-May-2023 20:51 13034 libibumad-1.3.10.2-r3.apk 15-May-2023 20:51 15744 libibumad-dev-1.3.10.2-r3.apk 15-May-2023 20:51 7490 libibumad-doc-1.3.10.2-r3.apk 15-May-2023 20:51 23489 libideviceactivation-1.1.1-r4.apk 15-May-2023 02:29 19218 libideviceactivation-dev-1.1.1-r4.apk 15-May-2023 02:29 3242 libideviceactivation-doc-1.1.1-r4.apk 15-May-2023 02:29 2015 libigraph-0.10.11-r0.apk 10-Apr-2024 23:39 1415500 libigraph-dev-0.10.11-r0.apk 10-Apr-2024 23:39 93283 libiio-0.25-r1.apk 15-Apr-2024 21:51 56813 libiio-dev-0.25-r1.apk 15-Apr-2024 21:51 13425 libiio-doc-0.25-r1.apk 15-Apr-2024 21:51 18124 libiio-pyc-0.25-r1.apk 15-Apr-2024 21:51 21204 libiio-tools-0.25-r1.apk 15-Apr-2024 21:51 102951 libime-1.1.6-r1.apk 22-Apr-2024 18:58 36988784 libime-dev-1.1.6-r1.apk 22-Apr-2024 18:58 30380 libiml-1.0.5-r3.apk 01-Aug-2023 12:38 85169 libiml-dev-1.0.5-r3.apk 01-Aug-2023 12:38 3772 libiml-static-1.0.5-r3.apk 01-Aug-2023 12:38 87350 libimobiledevice-glue-1.0.0-r1.apk 29-Jul-2023 20:02 16576 libimobiledevice-glue-dev-1.0.0-r1.apk 29-Jul-2023 20:02 5737 libirecovery-1.1.0-r0.apk 27-May-2023 09:50 20717 libirecovery-dev-1.1.0-r0.apk 27-May-2023 09:50 3997 libirecovery-progs-1.1.0-r0.apk 27-May-2023 09:50 8609 libiscsi-1.19.0-r2.apk 15-May-2023 20:51 63752 libiscsi-dev-1.19.0-r2.apk 15-May-2023 20:51 20631 libiscsi-doc-1.19.0-r2.apk 15-May-2023 20:51 9236 libiscsi-static-1.19.0-r2.apk 15-May-2023 20:51 80094 libiscsi-utils-1.19.0-r2.apk 15-May-2023 20:51 97616 libjodycode-3.1-r1.apk 29-Jul-2023 20:02 8033 libjodycode-dev-3.1-r1.apk 29-Jul-2023 20:02 4051 libjodycode-doc-3.1-r1.apk 29-Jul-2023 20:02 3279 libkkc-0.3.5-r3.apk 28-Apr-2024 06:12 246967 libkkc-dev-0.3.5-r3.apk 28-Apr-2024 06:12 37795 libkkc-lang-0.3.5-r3.apk 28-Apr-2024 06:12 3891 liblastfm-qt-1.1.10_git20190823-r2.apk 05-May-2023 04:34 171334 liblastfm-qt-dev-1.1.10_git20190823-r2.apk 05-May-2023 04:34 19877 liblinbox-1.7.0-r3.apk 01-Aug-2023 12:38 208938 liblinbox-dev-1.7.0-r3.apk 01-Aug-2023 12:38 866499 liblinbox-doc-1.7.0-r3.apk 01-Aug-2023 12:38 1906 liblinbox-static-1.7.0-r3.apk 01-Aug-2023 12:38 232185 libm17n-core-1.8.4-r1.apk 19-Sep-2023 21:48 61524 libm17n-flt-1.8.4-r1.apk 19-Sep-2023 21:48 21121 libm4ri-20200125-r4.apk 01-Aug-2023 12:38 163565 libm4ri-dev-20200125-r4.apk 01-Aug-2023 12:38 33792 libm4ri-static-20200125-r4.apk 01-Aug-2023 12:38 182450 libm4rie-20200125-r3.apk 01-Aug-2023 12:38 314528 libm4rie-dev-20200125-r3.apk 01-Aug-2023 12:38 25396 libm4rie-static-20200125-r3.apk 01-Aug-2023 12:38 342389 libmdbx-0.11.8-r0.apk 02-Jul-2022 04:27 845420 libmdbx-dbg-0.11.8-r0.apk 02-Jul-2022 04:27 2475918 libmdbx-dev-0.11.8-r0.apk 02-Jul-2022 04:27 95431 libmdbx-doc-0.11.8-r0.apk 02-Jul-2022 04:27 9065 libmedc-4.1.1-r3.apk 05-May-2024 05:24 559452 libmedc-dev-4.1.1-r3.apk 05-May-2024 05:24 38175 libmedc-doc-4.1.1-r3.apk 05-May-2024 05:25 42497926 libmedc-python-4.1.1-r3.apk 05-May-2024 05:25 1841683 libmedc-python-pyc-4.1.1-r3.apk 05-May-2024 05:25 486620 libmhash-0.9.9.9-r3.apk 14-Oct-2022 16:16 101474 libmhash-dev-0.9.9.9-r3.apk 14-Oct-2022 16:16 115378 libmhash-doc-0.9.9.9-r3.apk 14-Oct-2022 16:16 8347 libmpfi-1.5.4-r2.apk 01-Aug-2023 12:38 41407 libmpfi-dev-1.5.4-r2.apk 01-Aug-2023 12:38 5244 libmpfi-doc-1.5.4-r2.apk 01-Aug-2023 12:38 18928 libmpfi-static-1.5.4-r2.apk 01-Aug-2023 12:38 58470 libmrss-0.19.2-r1.apk 18-Jul-2021 09:15 20921 libmrss-dev-0.19.2-r1.apk 18-Jul-2021 09:15 32603 libmustache-0.5.0-r1.apk 02-Nov-2022 08:47 88409 libmygpo-qt-1.1.0-r1.apk 05-May-2023 04:34 92002 libmygpo-qt-dev-1.1.0-r1.apk 05-May-2023 04:34 11871 libmysofa-1.3.2-r0.apk 03-Nov-2023 23:43 27760 libmysofa-dev-1.3.2-r0.apk 03-Nov-2023 23:43 6917 libmysofa-tools-1.3.2-r0.apk 03-Nov-2023 23:43 1122268 libneo4j-client-2.2.0-r3.apk 20-Aug-2022 20:31 74635 libneo4j-client-dev-2.2.0-r3.apk 20-Aug-2022 20:31 126187 libnest2d-0.4-r6.apk 22-Apr-2024 18:58 1208 libnest2d-dev-0.4-r6.apk 22-Apr-2024 18:58 72614 libnfc-1.8.0-r1.apk 15-May-2023 20:51 56298 libnfc-dev-1.8.0-r1.apk 15-May-2023 20:51 7808 libnfc-doc-1.8.0-r1.apk 15-May-2023 20:51 22236 libnfc-tools-1.8.0-r1.apk 15-May-2023 20:51 94029 libntl-11.5.1-r3.apk 01-Aug-2023 12:38 1308297 libntl-dev-11.5.1-r3.apk 01-Aug-2023 12:38 162476 libntl-doc-11.5.1-r3.apk 01-Aug-2023 12:38 382242 libntl-static-11.5.1-r3.apk 01-Aug-2023 12:38 1906427 libnxml-0.18.3-r0.apk 19-Mar-2019 11:28 21067 libnxml-dev-0.18.3-r0.apk 19-Mar-2019 11:28 30155 libofx-0.10.9-r1.apk 26-Aug-2023 11:17 64207 libofx-dev-0.10.9-r1.apk 26-Aug-2023 11:17 19788 libofx-tools-0.10.9-r1.apk 26-Aug-2023 11:17 108007 liboggz-1.1.1-r2.apk 02-Nov-2022 08:47 131714 liboggz-dev-1.1.1-r2.apk 02-Nov-2022 08:47 174347 liboggz-doc-1.1.1-r2.apk 02-Nov-2022 08:47 137186 libopensmtpd-0.7-r0.apk 19-Feb-2022 01:19 20765 libopensmtpd-dev-0.7-r0.apk 19-Feb-2022 01:19 3164 libopensmtpd-doc-0.7-r0.apk 19-Feb-2022 01:19 5117 libqb-2.0.8-r0.apk 03-Aug-2023 20:00 67602 libqb-dev-2.0.8-r0.apk 03-Aug-2023 20:00 29120 libqb-doc-2.0.8-r0.apk 03-Aug-2023 20:00 159617 libqb-tools-2.0.8-r0.apk 03-Aug-2023 20:00 3440 libqofono-0.122-r0.apk 24-Dec-2023 15:57 1234 libqofono-dev-0.122-r0.apk 24-Dec-2023 15:57 47426 libqofono-qt5-0.122-r0.apk 24-Dec-2023 15:57 303313 libqofono-qt6-0.122-r0.apk 24-Dec-2023 15:57 446559 libqtdbusmock-0.9.1-r0.apk 28-Jan-2024 12:11 74379 libqtdbustest-0.3.2-r0.apk 04-Nov-2023 16:55 35424 libreoffice-voikko-5.0_git20200127-r0.apk 13-Jun-2023 17:29 46191 librespot-0.4.2-r4.apk 01-Aug-2023 04:56 1415852 librespot-openrc-0.4.2-r4.apk 01-Aug-2023 04:56 1700 libretro-atari800-0_git20220327-r0.apk 21-Apr-2022 10:27 311385 libretro-beetle-pce-fast-0_git20220205-r0.apk 21-Apr-2022 10:27 505464 libretro-beetle-pcfx-0_git20220409-r0.apk 21-Apr-2022 10:27 374766 libretro-beetle-saturn-0_git20220417-r0.apk 21-Apr-2022 10:27 1763776 libretro-beetle-supergrafx-0_git20220218-r0.apk 21-Apr-2022 10:27 516575 libretro-blastem-0_git20210810-r0.apk 21-Apr-2022 10:27 283218 libretro-bluemsx-0_git20220213-r0.apk 21-Apr-2022 10:27 737192 libretro-cannonball-0_git20220309-r6.apk 22-Apr-2024 18:58 291912 libretro-cap32-0_git20220419-r0.apk 21-Apr-2022 10:27 321758 libretro-crocods-0_git20210314-r1.apk 03-Jun-2021 14:20 304580 libretro-daphne-0_git20210108-r1.apk 03-Jun-2021 14:20 674547 libretro-dinothawr-0_git20220401-r0.apk 21-Apr-2022 10:27 153476 libretro-fbneo-0_git20220416-r0.apk 21-Apr-2022 10:27 13734348 libretro-freeintv-0_git20220319-r0.apk 21-Apr-2022 10:27 41294 libretro-frodo-0_git20221221-r0.apk 21-Apr-2022 10:27 174655 libretro-fuse-0_git20220417-r0.apk 21-Apr-2022 10:27 1028760 libretro-genesis-plus-gx-0_git20230503-r0.apk 05-May-2023 07:19 785776 libretro-gong-0_git20220319-r0.apk 21-Apr-2022 10:27 9183 libretro-gw-0_git20220410-r0.apk 21-Apr-2022 10:27 223090 libretro-mame2000-0_git20220413-r0.apk 21-Apr-2022 10:27 3769844 libretro-mame2003-0_git20220206-r0.apk 21-Apr-2022 10:27 8975959 libretro-mu-0_git20220317-r0.apk 21-Apr-2022 10:27 203545 libretro-neocd-0_git20220325-r0.apk 21-Apr-2022 10:27 519511 libretro-nxengine-0_git20220301-r0.apk 21-Apr-2022 10:27 361959 libretro-openlara-0_git20210121-r0.apk 21-Apr-2022 10:27 606171 libretro-opera-0_git20211214-r0.apk 21-Apr-2022 10:27 206117 libretro-pcsx-rearmed-0_git20220409-r0.apk 21-Apr-2022 10:27 588788 libretro-pocketcdg-0_git20220327-r0.apk 21-Apr-2022 10:27 97157 libretro-ppsspp-0_git20210516-r12.apk 03-Jul-2023 16:21 2323481 libretro-scummvm-0_git20210325-r0.apk 30-May-2021 14:22 23311773 libretro-snes9x-0_git20220414-r0.apk 21-Apr-2022 10:27 717873 libretro-theodore-3.1-r0.apk 19-Apr-2022 21:12 894832 libretro-tyrquake-0_git20220409-r0.apk 21-Apr-2022 10:27 478964 libretro-xrick-0_git20220331-r0.apk 21-Apr-2022 10:27 134958 librewolf-125.0.1_p1-r0.apk 21-Apr-2024 18:49 79154184 librewolf-dbg-125.0.1_p1-r0.apk 21-Apr-2024 18:49 7341284 librewolf-intl-125.0.1_p1-r0.apk 21-Apr-2024 18:49 1228 libsbsms-2.3.0-r0.apk 04-Sep-2021 16:01 112012 libsbsms-dev-2.3.0-r0.apk 04-Sep-2021 16:01 137621 libsds-2.0.0-r1.apk 15-Dec-2020 14:21 10479 libsds-dev-2.0.0-r1.apk 15-Dec-2020 14:21 3868 libsemanage-3.6-r0.apk 28-Dec-2023 04:20 98892 libsemanage-dev-3.6-r0.apk 28-Dec-2023 04:20 161465 libsemanage-doc-3.6-r0.apk 28-Dec-2023 04:20 23210 libsemigroups-2.7.3-r0.apk 22-Jan-2024 06:23 769675 libsemigroups-dev-2.7.3-r0.apk 22-Jan-2024 06:23 346063 libsemigroups-static-2.7.3-r0.apk 22-Jan-2024 06:23 1705176 libserialport-0.1.1-r1.apk 06-Feb-2022 09:44 20198 libserialport-dev-0.1.1-r1.apk 06-Feb-2022 09:44 41018 libshadowsocks-libev-3.3.5-r4.apk 13-Apr-2024 17:05 49557 libsigrok-0.5.2-r2.apk 15-May-2023 20:51 515285 libsigrok-dev-0.5.2-r2.apk 15-May-2023 20:51 31180 libsigrokdecode-0.5.3-r4.apk 15-Apr-2024 13:55 344537 libsigrokdecode-dev-0.5.3-r4.apk 15-Apr-2024 13:55 42198 libsimpleble-0.6.1-r1.apk 29-Jul-2023 20:02 186954 libsimpleble-c-0.6.1-r1.apk 29-Jul-2023 20:02 16519 libsimplebluez-0.6.1-r1.apk 29-Jul-2023 20:02 132374 libsirocco-2.1.0-r2.apk 01-Aug-2023 12:38 65566 libsirocco-dev-2.1.0-r2.apk 01-Aug-2023 12:38 1677 libspatialindex-0_git20210205-r1.apk 02-Nov-2023 20:32 314014 libspatialindex-dev-0_git20210205-r1.apk 02-Nov-2023 20:32 22264 libssl1.1-1.1.1w-r0.apk 12-Sep-2023 11:29 205009 libstirshaken-0_git20240208-r2.apk 08-Feb-2024 09:25 54933 libstirshaken-dev-0_git20240208-r2.apk 08-Feb-2024 09:25 86245 libstirshaken-tools-0_git20240208-r2.apk 08-Feb-2024 09:25 174366 libsymmetrica-3.0.1-r2.apk 01-Aug-2023 12:38 4274623 libsymmetrica-dev-3.0.1-r2.apk 01-Aug-2023 12:38 32563 libsymmetrica-static-3.0.1-r2.apk 01-Aug-2023 12:38 5453060 libtcmu-1.6.0-r5.apk 01-Sep-2023 08:03 39126 libtcmu-dev-1.6.0-r5.apk 01-Sep-2023 08:03 1306 libthai-0.1.29-r0.apk 05-Feb-2022 16:42 202103 libthai-dev-0.1.29-r0.apk 05-Feb-2022 16:42 32343 libthai-doc-0.1.29-r0.apk 05-Feb-2022 16:42 120762 libtins-4.5-r1.apk 22-Apr-2024 18:58 328245 libtins-dev-4.5-r1.apk 22-Apr-2024 18:58 144447 libtins-doc-4.5-r1.apk 22-Apr-2024 18:58 2107 libtommath-1.2.1-r0.apk 06-Oct-2023 16:21 45351 libtommath-dev-1.2.1-r0.apk 06-Oct-2023 16:21 72850 libtsm-4.0.2-r0.apk 05-Oct-2022 00:06 27779 libtsm-dev-4.0.2-r0.apk 05-Oct-2022 00:06 9782 libubox-20230523-r0.apk 06-Aug-2023 19:59 26999 libubox-dev-20230523-r0.apk 06-Aug-2023 19:59 20547 libubox-static-20230523-r0.apk 06-Aug-2023 19:59 534286 libucl-0.9.0-r0.apk 02-Feb-2024 21:18 57182 libucl-dev-0.9.0-r0.apk 02-Feb-2024 21:18 88629 libucl-doc-0.9.0-r0.apk 02-Feb-2024 21:18 8807 libuecc-7-r3.apk 18-Oct-2023 16:23 10241 libuecc-dev-7-r3.apk 18-Oct-2023 16:23 4602 libui-4.1_alpha20211213-r0.apk 13-Dec-2021 20:16 68517 libui-dev-4.1_alpha20211213-r0.apk 13-Dec-2021 20:16 19598 libuninameslist-20230916-r0.apk 18-Sep-2023 04:50 385196 libuninameslist-dev-20230916-r0.apk 18-Sep-2023 04:50 3283 libuninameslist-doc-20230916-r0.apk 18-Sep-2023 04:50 1825 libupstart-2.0.3-r4.apk 01-Jul-2023 05:25 53371 libusbguard-1.1.2-r8.apk 03-Jan-2024 19:29 302047 libvdpau-va-gl-0.4.2-r0.apk 04-Jul-2020 04:28 61304 libvisio2svg-0.5.5-r3.apk 29-Apr-2023 21:47 16671 libvisio2svg-dev-0.5.5-r3.apk 29-Apr-2023 21:47 2722 libvisio2svg-utils-0.5.5-r3.apk 29-Apr-2023 21:47 130266 libvmaf-3.0.0-r0.apk 05-Feb-2024 20:24 341981 libvmaf-dev-3.0.0-r0.apk 05-Feb-2024 20:24 203860 libvmime-0.9.2.175-r0.apk 26-Apr-2024 06:33 715191 libvmime-dbg-0.9.2.175-r0.apk 26-Apr-2024 06:33 13193670 libvmime-dev-0.9.2.175-r0.apk 26-Apr-2024 06:33 23567160 libvoikko-4.3.2-r1.apk 15-Apr-2024 21:51 138995 libvoikko-dev-4.3.2-r1.apk 15-Apr-2024 21:51 9883 libvoikko-doc-4.3.2-r1.apk 15-Apr-2024 21:51 5594 libwbxml-0.11.8-r0.apk 19-Mar-2022 09:09 81790 libwbxml-dev-0.11.8-r0.apk 19-Mar-2022 09:09 9178 libwbxml-doc-0.11.8-r0.apk 19-Mar-2022 09:09 28946 libwhich-1.2.0-r0.apk 27-Nov-2022 16:49 4541 libwmiclient-1.3.16-r4.apk 02-Nov-2022 08:47 1542735 libwmiclient-dev-1.3.16-r4.apk 02-Nov-2022 08:47 1494 libxml++-5.0.3-r1.apk 29-Apr-2023 21:47 64921 libxml++-dev-5.0.3-r1.apk 29-Apr-2023 21:47 30798 libxmp-4.6.0-r0.apk 20-Jun-2023 18:31 263832 libxmp-dev-4.6.0-r0.apk 20-Jun-2023 18:31 8711 libzn_poly-0.9.2-r2.apk 01-Aug-2023 12:38 51096 libzn_poly-dev-0.9.2-r2.apk 01-Aug-2023 12:38 8097 libzn_poly-static-0.9.2-r2.apk 01-Aug-2023 12:38 55141 licenseheaders-0.8.8-r3.apk 15-Apr-2024 21:51 17832 licenseheaders-pyc-0.8.8-r3.apk 15-Apr-2024 21:51 18598 lightdm-settings-2.0.2-r0.apk 10-Jan-2024 20:27 31563 lightdm-settings-lang-2.0.2-r0.apk 10-Jan-2024 20:27 121659 limnoria-20220927-r3.apk 15-Apr-2024 21:51 1055760 limnoria-doc-20220927-r3.apk 15-Apr-2024 21:51 7893 limnoria-pyc-20220927-r3.apk 15-Apr-2024 21:51 1209196 links-graphics-2.29-r1.apk 18-Apr-2024 00:02 3011476 links-graphics-doc-2.29-r1.apk 18-Apr-2024 00:02 7481 linphone-5.3.38-r0.apk 13-Apr-2024 17:05 9481676 linphone-dev-5.3.38-r0.apk 13-Apr-2024 17:05 255450 linphone-libs-5.3.38-r0.apk 13-Apr-2024 17:05 3282083 linux-apfs-rw-src-0.3.8-r0.apk 14-Mar-2024 22:55 201530 linux-timemachine-1.3.2-r0.apk 20-Nov-2022 21:09 4909 linuxkit-1.2.0-r1.apk 07-Apr-2024 00:49 11945778 linuxkit-doc-1.2.0-r1.apk 07-Apr-2024 00:49 10797 linuxptp-4.2-r0.apk 20-Dec-2023 18:54 1234 linuxptp-doc-4.2-r0.apk 20-Dec-2023 18:54 33860 linuxptp-hwstamp_ctl-4.2-r0.apk 20-Dec-2023 18:54 4714 linuxptp-nsm-4.2-r0.apk 20-Dec-2023 18:54 30579 linuxptp-phc2sys-4.2-r0.apk 20-Dec-2023 18:54 36977 linuxptp-phc_ctl-4.2-r0.apk 20-Dec-2023 18:54 10435 linuxptp-pmc-4.2-r0.apk 20-Dec-2023 18:54 33927 linuxptp-ptp4l-4.2-r0.apk 20-Dec-2023 18:54 76348 linuxptp-timemaster-4.2-r0.apk 20-Dec-2023 18:54 17264 linuxptp-ts2phc-4.2-r0.apk 20-Dec-2023 18:54 35493 linuxptp-tz2alt-4.2-r0.apk 20-Dec-2023 18:54 19044 lipstick-asteroidos-2.0.0-r1.apk 08-Oct-2023 10:48 316889 lipstick-asteroidos-dbg-2.0.0-r1.apk 08-Oct-2023 10:48 14332615 lipstick-asteroidos-dev-2.0.0-r1.apk 08-Oct-2023 10:48 29543 lipstick-asteroidos-tools-2.0.0-r1.apk 08-Oct-2023 10:48 23446 liquibase-4.9.1-r0.apk 11-Apr-2022 11:28 33161795 liquibase-doc-4.9.1-r0.apk 11-Apr-2022 11:28 58257 listenbrainz-mpd-2.3.5-r0.apk 24-Apr-2024 06:11 1369843 listenbrainz-mpd-bash-completion-2.3.5-r0.apk 24-Apr-2024 06:11 1886 listenbrainz-mpd-doc-2.3.5-r0.apk 24-Apr-2024 06:11 14478 listenbrainz-mpd-fish-completion-2.3.5-r0.apk 24-Apr-2024 06:11 1587 listenbrainz-mpd-zsh-completion-2.3.5-r0.apk 24-Apr-2024 06:11 1837 lite-xl-2.1.1-r1.apk 22-Jan-2024 02:30 399383 lite-xl-doc-2.1.1-r1.apk 22-Jan-2024 02:30 3763 litehtml-0.8-r2.apk 01-Aug-2023 12:38 337824 litehtml-dev-0.8-r2.apk 01-Aug-2023 12:38 40653 litehtml-static-0.8-r2.apk 01-Aug-2023 12:38 551979 litterbox-1.9-r1.apk 08-Apr-2023 08:51 37795 litterbox-doc-1.9-r1.apk 08-Apr-2023 08:51 7153 lizardfs-3.13.0-r13.apk 22-Apr-2024 18:58 155718 lizardfs-bash-completion-3.13.0-r13.apk 22-Apr-2024 18:58 1647 lizardfs-cgi-3.13.0-r13.apk 22-Apr-2024 18:58 32109 lizardfs-cgiserv-3.13.0-r13.apk 22-Apr-2024 18:58 7279 lizardfs-cgiserv-openrc-3.13.0-r13.apk 22-Apr-2024 18:58 1775 lizardfs-chunkserver-3.13.0-r13.apk 22-Apr-2024 18:58 409239 lizardfs-chunkserver-openrc-3.13.0-r13.apk 22-Apr-2024 18:58 1420 lizardfs-client-3.13.0-r13.apk 22-Apr-2024 18:58 1451152 lizardfs-doc-3.13.0-r13.apk 22-Apr-2024 18:58 11812 lizardfs-master-3.13.0-r13.apk 22-Apr-2024 18:58 1084533 lizardfs-master-openrc-3.13.0-r13.apk 22-Apr-2024 18:58 1400 lizardfs-metalogger-3.13.0-r13.apk 22-Apr-2024 18:58 176988 lizardfs-metalogger-openrc-3.13.0-r13.apk 22-Apr-2024 18:58 1414 llmnrd-0.7-r1.apk 02-Nov-2022 08:47 18611 llmnrd-doc-0.7-r1.apk 02-Nov-2022 08:47 2843 llmnrd-openrc-0.7-r1.apk 02-Nov-2022 08:47 1667 lockrun-1.1.3-r1.apk 02-Nov-2022 08:48 5534 log4cpp-1.1.4-r1.apk 15-May-2023 20:51 73611 log4cpp-dev-1.1.4-r1.apk 15-May-2023 20:51 39566 log4cxx-1.1.0-r1.apk 16-Sep-2023 10:06 559611 log4cxx-dev-1.1.0-r1.apk 16-Sep-2023 10:06 144992 logc-0.5.0-r0.apk 18-Nov-2023 17:33 8913 logc-argp-0.5.0-r0.apk 18-Nov-2023 17:33 17512 logc-config-0.5.0-r0.apk 18-Nov-2023 17:33 5346 logc-czmq-0.1.0-r0.apk 18-Nov-2023 17:33 4195 logc-dev-0.5.0-r0.apk 18-Nov-2023 17:33 8846 logc-libevent-0.1.0-r0.apk 18-Nov-2023 17:33 3514 logc-libs-0.1.0-r0.apk 18-Nov-2023 17:33 1221 logc-libs-dev-0.1.0-r0.apk 18-Nov-2023 17:33 5747 logwatch-7.10-r1.apk 05-May-2024 15:28 494803 logwatch-doc-7.10-r1.apk 05-May-2024 15:28 38746 lol-html-1.1.1-r0.apk 04-Nov-2023 13:05 575842 lol-html-dev-1.1.1-r0.apk 04-Nov-2023 13:05 6430 lolcat-1.4-r0.apk 14-Jun-2023 22:17 11199 lomiri-action-api-1.1.3-r0.apk 06-Feb-2024 23:41 85869 lomiri-action-api-dev-1.1.3-r0.apk 06-Feb-2024 23:41 5256 lomiri-api-0.2.1-r0.apk 04-Nov-2023 16:55 35396 lomiri-api-dev-0.2.1-r0.apk 04-Nov-2023 16:55 33902 lomiri-app-launch-0.1.9-r2.apk 26-Apr-2024 22:28 381526 lomiri-app-launch-dev-0.1.9-r2.apk 26-Apr-2024 22:28 20604 lomiri-calculator-app-4.0.2-r0.apk 15-Mar-2024 17:51 383490 lomiri-calculator-app-lang-4.0.2-r0.apk 15-Mar-2024 17:51 37450 lomiri-clock-app-4.0.3-r0.apk 17-Dec-2023 21:24 231002 lomiri-clock-app-lang-4.0.3-r0.apk 17-Dec-2023 21:24 408691 lomiri-content-hub-1.1.1-r0.apk 10-Feb-2024 13:52 291803 lomiri-content-hub-dev-1.1.1-r0.apk 10-Feb-2024 13:52 11425 lomiri-content-hub-doc-1.1.1-r0.apk 10-Feb-2024 13:52 914888 lomiri-content-hub-lang-1.1.1-r0.apk 10-Feb-2024 13:52 42370 lomiri-download-manager-0.1.3-r1.apk 22-Apr-2024 18:58 610162 lomiri-download-manager-dev-0.1.3-r1.apk 22-Apr-2024 18:58 17857 lomiri-download-manager-doc-0.1.3-r1.apk 22-Apr-2024 18:58 857885 lomiri-download-manager-lang-0.1.3-r1.apk 22-Apr-2024 18:58 31777 lomiri-filemanager-app-1.0.4-r0.apk 15-Mar-2024 17:51 349993 lomiri-filemanager-app-lang-1.0.4-r0.apk 15-Mar-2024 17:51 178684 lomiri-gallery-app-3.0.2-r0.apk 08-Mar-2024 21:43 3899334 lomiri-gallery-app-lang-3.0.2-r0.apk 08-Mar-2024 21:43 110882 lomiri-history-service-0.4-r1.apk 25-Apr-2024 23:31 375591 lomiri-history-service-dev-0.4-r1.apk 25-Apr-2024 23:31 12179 lomiri-indicator-location-0_git20231227-r0.apk 15-Mar-2024 17:51 31695 lomiri-indicator-location-lang-0_git20231227-r0..> 15-Mar-2024 17:51 33797 lomiri-indicator-network-1.0.2-r0.apk 06-Feb-2024 23:41 639154 lomiri-indicator-network-dev-1.0.2-r0.apk 06-Feb-2024 23:41 9858 lomiri-indicator-network-doc-1.0.2-r0.apk 06-Feb-2024 23:41 1847 lomiri-indicator-network-lang-1.0.2-r0.apk 06-Feb-2024 23:41 171745 lomiri-libusermetrics-1.3.2-r0.apk 06-Feb-2024 23:41 186674 lomiri-libusermetrics-dev-1.3.2-r0.apk 06-Feb-2024 23:41 8017 lomiri-libusermetrics-doc-1.3.2-r0.apk 06-Feb-2024 23:41 228885 lomiri-libusermetrics-lang-1.3.2-r0.apk 06-Feb-2024 23:41 46782 lomiri-location-service-3.1.0-r0.apk 14-Nov-2023 01:08 2236839 lomiri-location-service-dev-3.1.0-r0.apk 14-Nov-2023 01:08 32070 lomiri-location-service-doc-3.1.0-r0.apk 14-Nov-2023 01:08 2700 lomiri-location-service-lang-3.1.0-r0.apk 14-Nov-2023 01:08 24590 lomiri-notifications-1.3.0-r0.apk 17-Dec-2023 21:24 101763 lomiri-schemas-0.1.4-r1.apk 26-Mar-2024 00:02 11110 lomiri-settings-components-1.1.1-r0.apk 06-Feb-2024 23:41 229699 lomiri-settings-components-lang-1.1.1-r0.apk 06-Feb-2024 23:41 102184 lomiri-sounds-22.02-r0.apk 15-Mar-2024 17:51 18838857 lomiri-telephony-service-0.5.3-r0.apk 06-Feb-2024 23:41 1042056 lomiri-telephony-service-lang-0.5.3-r0.apk 06-Feb-2024 23:41 100962 lomiri-terminal-app-2.0.2-r0.apk 07-Feb-2024 12:43 67048 lomiri-terminal-app-doc-2.0.2-r0.apk 07-Feb-2024 12:43 2449 lomiri-terminal-app-lang-2.0.2-r0.apk 07-Feb-2024 12:43 65117 lomiri-thumbnailer-3.0.3-r1.apk 22-Apr-2024 18:58 234130 lomiri-thumbnailer-dev-3.0.3-r1.apk 22-Apr-2024 18:58 5011 lomiri-thumbnailer-doc-3.0.3-r1.apk 22-Apr-2024 18:58 1249 lomiri-trust-store-2.0.2-r0.apk 06-Feb-2024 23:41 1036215 lomiri-trust-store-dev-2.0.2-r0.apk 06-Feb-2024 23:41 9436 lomiri-trust-store-lang-2.0.2-r0.apk 06-Feb-2024 23:41 32521 lomiri-ui-extras-0.6.3-r0.apk 06-Feb-2024 23:41 273007 lomiri-ui-extras-lang-0.6.3-r0.apk 06-Feb-2024 23:41 56357 lomiri-ui-toolkit-1.3.5100-r0.apk 23-Mar-2024 00:02 1415208 lomiri-ui-toolkit-dev-1.3.5100-r0.apk 23-Mar-2024 00:02 177310 lomiri-ui-toolkit-lang-1.3.5100-r0.apk 23-Mar-2024 00:02 101607 lomiri-url-dispatcher-0.1.3-r1.apk 15-Apr-2024 21:51 46761 lomiri-url-dispatcher-dev-0.1.3-r1.apk 15-Apr-2024 21:51 3185 lomiri-url-dispatcher-lang-0.1.3-r1.apk 15-Apr-2024 21:51 21079 lomiri-weather-app-5.13.5-r0.apk 15-Mar-2024 17:51 240680 lomiri-weather-app-lang-5.13.5-r0.apk 15-Mar-2024 17:51 294311 lotide-0.15.0-r0.apk 29-Mar-2024 01:23 3742433 lotide-openrc-0.15.0-r0.apk 29-Mar-2024 01:23 2926 lottieconverter-0.2_git20231219-r0.apk 19-Dec-2023 22:23 14352 lottieconverter-doc-0.2_git20231219-r0.apk 19-Dec-2023 22:23 2207 lout-3.42.2-r0.apk 12-Jun-2023 21:35 1434068 lout-doc-3.42.2-r0.apk 12-Jun-2023 21:35 463123 lpac-2.0.1-r0.apk 28-Apr-2024 19:05 41678 lpac-dbg-2.0.1-r0.apk 28-Apr-2024 19:05 118577 lrcalc-2.1-r1.apk 15-May-2023 20:51 13077 lrcalc-dev-2.1-r1.apk 15-May-2023 20:51 11338 lrcalc-libs-2.1-r1.apk 15-May-2023 20:51 30312 lrzsz-0.12.20-r2.apk 02-Nov-2022 08:48 69889 lrzsz-doc-0.12.20-r2.apk 02-Nov-2022 08:48 14870 lsd-1.1.1-r0.apk 25-Mar-2024 23:03 1222272 lsd-bash-completion-1.1.1-r0.apk 25-Mar-2024 23:03 2331 lsd-fish-completion-1.1.1-r0.apk 25-Mar-2024 23:03 2968 lsd-zsh-completion-1.1.1-r0.apk 25-Mar-2024 23:03 3271 lsdvd-0.17-r0.apk 31-Jan-2023 20:08 14169 lsdvd-doc-0.17-r0.apk 31-Jan-2023 20:08 2260 lshell-0.9.18-r11.apk 15-Apr-2024 21:51 37064 lshell-doc-0.9.18-r11.apk 15-Apr-2024 21:51 25535 lshell-pyc-0.9.18-r11.apk 15-Apr-2024 21:51 35899 lsip6-0.2.0-r1.apk 15-Apr-2024 21:51 5355 lsip6-pyc-0.2.0-r1.apk 15-Apr-2024 21:51 4940 lsix-1.8.2-r0.apk 23-Dec-2023 01:34 6400 lsmash-2.14.5-r2.apk 02-Nov-2022 08:48 302455 lsmash-dev-2.14.5-r2.apk 02-Nov-2022 08:48 417436 lua-editorconfig-0.3.0-r0.apk 12-Apr-2021 07:30 1227 lua-fn-0.1.0-r0.apk 15-Aug-2022 15:45 3461 lua-inet-0.2.0-r0.apk 14-Jan-2022 09:21 9104 lua-lanes-3.16.0-r1.apk 04-Apr-2024 11:35 1213 lua-lcurses-9.0.0-r0.apk 05-Jan-2018 13:55 1172 lua-libmodbus-0.6.1-r0.apk 11-Jul-2020 14:23 1212 lua-libmodbus-doc-0.6.1-r0.apk 11-Jul-2020 14:23 19564 lua-linenoise-0.9-r1.apk 30-Jan-2021 17:27 1201 lua-luastatic-0.0.12-r1.apk 02-Nov-2022 08:48 1232 lua-lupa-1.0-r0.apk 14-Jan-2022 09:21 20041 lua-lut-1.2.1-r0.apk 25-Jun-2019 19:10 92059 lua-psl-0.3-r0.apk 05-Feb-2020 10:50 1129 lua-resty-redis-0.29-r0.apk 16-Feb-2023 20:59 5184 lua-resty-upload-0.11-r0.apk 16-Mar-2023 19:39 3437 lua-xml-1.1.3-r1.apk 02-Mar-2020 11:32 1199 lua5.1-lanes-3.16.0-r1.apk 04-Apr-2024 11:35 59196 lua5.1-lcurses-9.0.0-r0.apk 05-Jan-2018 13:55 25922 lua5.1-libguestfs-1.52.0-r1.apk 15-Apr-2024 21:51 93658 lua5.1-libmodbus-0.6.1-r0.apk 11-Jul-2020 14:23 11334 lua5.1-linenoise-0.9-r1.apk 30-Jan-2021 17:27 18986 lua5.1-luacov-0.15.0-r0.apk 08-Jun-2023 21:49 23579 lua5.1-luacov-html-1.0.0-r1.apk 02-Jun-2022 15:04 422638 lua5.1-luastatic-0.0.12-r1.apk 02-Nov-2022 08:48 88263 lua5.1-psl-0.3-r0.apk 05-Feb-2020 10:50 6927 lua5.1-xml-1.1.3-r1.apk 02-Mar-2020 11:32 24032 lua5.2-editorconfig-0.3.0-r0.apk 12-Apr-2021 07:30 5054 lua5.2-lanes-3.16.0-r1.apk 04-Apr-2024 11:35 58837 lua5.2-libmodbus-0.6.1-r0.apk 11-Jul-2020 14:23 11320 lua5.2-linenoise-0.9-r1.apk 30-Jan-2021 17:27 18983 lua5.2-luacov-0.15.0-r0.apk 08-Jun-2023 21:49 23575 lua5.2-luacov-html-1.0.0-r1.apk 02-Jun-2022 15:04 422659 lua5.2-luastatic-0.0.12-r1.apk 02-Nov-2022 08:48 9164 lua5.2-penlight-1.3.0-r1.apk 26-Jul-2022 07:50 101351 lua5.2-penlight-doc-1.3.0-r1.apk 26-Jul-2022 07:50 66201 lua5.2-psl-0.3-r0.apk 05-Feb-2020 10:50 6827 lua5.2-xml-1.1.3-r1.apk 02-Mar-2020 11:32 23776 lua5.3-apk3-3.0.0_pre2_git20240401-r0.apk 02-Apr-2024 19:48 6077 lua5.3-editorconfig-0.3.0-r0.apk 12-Apr-2021 07:30 5135 lua5.3-lanes-3.16.0-r1.apk 04-Apr-2024 11:35 59326 lua5.3-linenoise-0.9-r1.apk 30-Jan-2021 17:27 18977 lua5.3-luacov-0.15.0-r0.apk 08-Jun-2023 21:49 23580 lua5.3-luacov-html-1.0.0-r1.apk 02-Jun-2022 15:04 422669 lua5.3-luastatic-0.0.12-r1.apk 02-Nov-2022 08:48 9175 lua5.3-psl-0.3-r0.apk 05-Feb-2020 10:50 6871 lua5.4-editorconfig-0.3.0-r0.apk 12-Apr-2021 07:30 5133 lua5.4-lanes-3.16.0-r1.apk 04-Apr-2024 11:35 59032 lua5.4-linenoise-0.9-r1.apk 30-Jan-2021 17:27 18980 lua5.4-luacov-0.15.0-r0.apk 08-Jun-2023 21:49 23583 lua5.4-luastatic-0.0.12-r1.apk 02-Nov-2022 08:48 9250 luacov-0.15.0-r0.apk 08-Jun-2023 21:49 1216 luacov-html-1.0.0-r1.apk 02-Jun-2022 15:04 1244 luapak-0.1.0_beta5-r0.apk 26-Jul-2017 11:57 35592 luksmeta-9-r0.apk 17-Jun-2022 11:58 15281 luksmeta-dev-9-r0.apk 17-Jun-2022 11:58 3171 luksmeta-doc-9-r0.apk 17-Jun-2022 11:58 5616 lumina-desktop-1.6.2-r0.apk 05-Jul-2022 19:11 1268 lumina-desktop-archiver-1.6.2-r0.apk 05-Jul-2022 19:11 170309 lumina-desktop-core-1.6.2-r0.apk 05-Jul-2022 19:11 9406494 lumina-desktop-coreutils-1.6.2-r0.apk 05-Jul-2022 19:11 845047 lumina-desktop-doc-1.6.2-r0.apk 05-Jul-2022 19:11 11780 lumina-desktop-fileinfo-1.6.2-r0.apk 05-Jul-2022 19:11 163777 lumina-desktop-fm-1.6.2-r0.apk 05-Jul-2022 19:11 402974 lumina-desktop-mediaplayer-1.6.2-r0.apk 05-Jul-2022 19:11 204507 lumina-desktop-photo-1.6.2-r0.apk 05-Jul-2022 19:11 129431 lumina-desktop-screenshot-1.6.2-r0.apk 05-Jul-2022 19:11 173175 lumina-desktop-sudo-1.6.2-r0.apk 05-Jul-2022 19:11 99013 lumina-desktop-textedit-1.6.2-r0.apk 05-Jul-2022 19:11 198642 lumins-0.4.0-r2.apk 24-May-2023 07:48 705176 lutgen-0.10.0-r0.apk 01-Apr-2024 19:32 1398301 lutgen-bash-completion-0.10.0-r0.apk 01-Apr-2024 19:32 6701 lutgen-fish-completion-0.10.0-r0.apk 01-Apr-2024 19:32 7106 lutgen-zsh-completion-0.10.0-r0.apk 01-Apr-2024 19:32 8020 lxappearance-0.6.3-r3.apk 29-May-2023 03:20 30683 lxappearance-dev-0.6.3-r3.apk 29-May-2023 03:20 3050 lxappearance-doc-0.6.3-r3.apk 29-May-2023 03:20 2410 lxappearance-lang-0.6.3-r3.apk 29-May-2023 03:20 82164 lxd-feature-5.20-r2.apk 07-Apr-2024 00:49 63611982 lxd-feature-bash-completion-5.20-r2.apk 07-Apr-2024 00:49 4991 lxd-feature-doc-5.20-r2.apk 07-Apr-2024 00:49 1452 lxd-feature-openrc-5.20-r2.apk 07-Apr-2024 00:49 2268 lxd-feature-scripts-5.20-r2.apk 07-Apr-2024 00:49 1929 lychee-0.15.1-r0.apk 30-Apr-2024 00:47 5608959 lychee-doc-0.15.1-r0.apk 30-Apr-2024 00:47 11364 lynis-3.1.1-r0.apk 18-Mar-2024 01:01 277516 lynis-bash-completion-3.1.1-r0.apk 18-Mar-2024 01:01 2784 lynis-doc-3.1.1-r0.apk 18-Mar-2024 01:01 50068 lyrebird-0.1.0-r4.apk 07-Apr-2024 00:49 2867653 lzfse-1.0-r0.apk 17-Sep-2022 09:57 20940 lzfse-dev-1.0-r0.apk 17-Sep-2022 09:57 3506 m17n-db-1.8.5-r0.apk 23-Nov-2023 21:18 2583536 m17n-db-dev-1.8.5-r0.apk 23-Nov-2023 21:18 1452 m17n-db-lang-1.8.5-r0.apk 23-Nov-2023 21:18 10975 m17n-lib-1.8.4-r1.apk 19-Sep-2023 21:48 169944 m17n-lib-dev-1.8.4-r1.apk 19-Sep-2023 21:48 39816 m17n-lib-tools-1.8.4-r1.apk 19-Sep-2023 21:48 44255 m2r2-0.3.3-r2.apk 15-Apr-2024 21:51 11957 m2r2-pyc-0.3.3-r2.apk 15-Apr-2024 21:51 15748 ma1sd-2.5.0-r2.apk 02-Nov-2022 08:48 39969901 ma1sd-openrc-2.5.0-r2.apk 02-Nov-2022 08:48 1769 macchina-6.1.8-r1.apk 24-May-2023 07:48 575685 macchina-doc-6.1.8-r1.apk 24-May-2023 07:48 5426 maddy-0.7.1-r2.apk 07-Apr-2024 00:49 8891776 maddy-doc-0.7.1-r2.apk 07-Apr-2024 00:49 2168 maddy-openrc-0.7.1-r2.apk 07-Apr-2024 00:49 1757 maddy-vim-0.7.1-r2.apk 07-Apr-2024 00:49 3487 mage-1.13.0-r15.apk 07-Apr-2024 00:49 1452698 maildir2rss-0.0.2-r4.apk 07-Apr-2024 00:49 3215080 mailsec-check-0_git20210729-r18.apk 07-Apr-2024 00:49 2346980 mailutils-3.17-r0.apk 19-Jan-2024 17:51 270226 mailutils-dev-3.17-r0.apk 19-Jan-2024 17:51 3070335 mailutils-doc-3.17-r0.apk 19-Jan-2024 17:51 162602 mailutils-libs-3.17-r0.apk 19-Jan-2024 17:51 576976 mailutils-mh-3.17-r0.apk 19-Jan-2024 17:51 1554902 mailutils-servers-3.17-r0.apk 19-Jan-2024 17:51 84096 makeself-2.5.0-r0.apk 09-Jun-2023 21:42 13042 mame-0.251-r0.apk 22-Feb-2023 11:07 104538208 mame-arcade-0.251-r0.apk 22-Feb-2023 11:07 73434735 mame-common-0.251-r0.apk 22-Feb-2023 11:07 2453 mame-data-0.251-r0.apk 22-Feb-2023 11:07 20048863 mame-doc-0.251-r0.apk 22-Feb-2023 11:07 24372 mame-lang-0.251-r0.apk 22-Feb-2023 11:07 1495178 mame-mess-0.251-r0.apk 22-Feb-2023 11:07 56913741 mame-plugins-0.251-r0.apk 22-Feb-2023 11:07 170054 mame-tools-0.251-r0.apk 22-Feb-2023 11:07 3059926 mangal-4.0.6-r10.apk 07-Apr-2024 00:49 10076569 mangal-bash-completion-4.0.6-r10.apk 07-Apr-2024 00:49 4853 mangal-fish-completion-4.0.6-r10.apk 07-Apr-2024 00:49 3762 mangal-zsh-completion-4.0.6-r10.apk 07-Apr-2024 00:49 3845 mangr0ve-0.1.2-r0.apk 29-Jan-2024 02:19 2607 mangr0ve-doc-0.1.2-r0.apk 29-Jan-2024 02:19 14502 manifest-tool-2.1.6-r2.apk 07-Apr-2024 00:49 3977130 mapnik-3.1.0-r25.apk 13-Nov-2023 21:47 11676126 mapnik-dev-3.1.0-r25.apk 13-Nov-2023 21:47 481552 mapnik-doc-3.1.0-r25.apk 13-Nov-2023 21:47 138214 mapserver-8.0.1-r2.apk 13-Nov-2023 21:47 1417365 mapserver-dev-8.0.1-r2.apk 13-Nov-2023 21:47 551827 marknote-1.1.1-r0.apk 04-Apr-2024 08:00 202900 marknote-lang-1.1.1-r0.apk 04-Apr-2024 08:00 20840 marxan-4.0.7-r1.apk 02-Nov-2022 08:48 625177 masky-0.2.0-r1.apk 15-Apr-2024 21:51 284121 masky-pyc-0.2.0-r1.apk 15-Apr-2024 21:51 65600 mat2-0.13.4-r1.apk 19-Oct-2023 16:18 32677 mat2-doc-0.13.4-r1.apk 19-Oct-2023 16:18 7682 mat2-pyc-0.13.4-r1.apk 19-Oct-2023 16:18 57789 materia-20210322-r1.apk 02-Nov-2022 08:48 1470 materia-chromium-20210322-r1.apk 02-Nov-2022 08:48 5579 materia-compact-20210322-r1.apk 02-Nov-2022 08:48 1478 materia-compact-chromium-20210322-r1.apk 02-Nov-2022 08:48 5590 materia-compact-gnome-shell-20210322-r1.apk 02-Nov-2022 08:48 32115 materia-compact-gtk2-20210322-r1.apk 02-Nov-2022 08:48 38428 materia-compact-gtk3-20210322-r1.apk 02-Nov-2022 08:48 65831 materia-dark-20210322-r1.apk 02-Nov-2022 08:48 1481 materia-dark-chromium-20210322-r1.apk 02-Nov-2022 08:48 5596 materia-dark-compact-20210322-r1.apk 02-Nov-2022 08:48 1495 materia-dark-compact-chromium-20210322-r1.apk 02-Nov-2022 08:48 5609 materia-dark-compact-gnome-shell-20210322-r1.apk 02-Nov-2022 08:48 32093 materia-dark-compact-gtk2-20210322-r1.apk 02-Nov-2022 08:48 38365 materia-dark-compact-gtk3-20210322-r1.apk 02-Nov-2022 08:48 41915 materia-dark-compact-kde-kvantum-20220823-r0.apk 19-Mar-2023 22:42 1236 materia-dark-gnome-shell-20210322-r1.apk 02-Nov-2022 08:48 32020 materia-dark-gtk2-20210322-r1.apk 02-Nov-2022 08:48 38368 materia-dark-gtk3-20210322-r1.apk 02-Nov-2022 08:48 41926 materia-dark-kde-konsole-20220823-r0.apk 19-Mar-2023 22:42 1622 materia-dark-kde-kvantum-20220823-r0.apk 19-Mar-2023 22:42 30450 materia-dark-kde-plasma-20220823-r0.apk 19-Mar-2023 22:42 514737 materia-dark-kde-yakuake-20220823-r0.apk 19-Mar-2023 22:42 21983 materia-gnome-shell-20210322-r1.apk 02-Nov-2022 08:48 32013 materia-gtk-theme-20210322-r1.apk 02-Nov-2022 08:48 154461 materia-gtk2-20210322-r1.apk 02-Nov-2022 08:48 38424 materia-gtk3-20210322-r1.apk 02-Nov-2022 08:48 65943 materia-kde-20220823-r0.apk 19-Mar-2023 22:42 19535 materia-kde-konsole-20220823-r0.apk 19-Mar-2023 22:42 1607 materia-kde-kvantum-20220823-r0.apk 19-Mar-2023 22:42 30405 materia-kde-plasma-20220823-r0.apk 19-Mar-2023 22:42 1782675 materia-light-compact-kde-kvantum-20220823-r0.apk 19-Mar-2023 22:42 1238 materia-light-kde-kvantum-20220823-r0.apk 19-Mar-2023 22:42 30042 materia-light-kde-plasma-20220823-r0.apk 19-Mar-2023 22:42 20327 materia-light-kde-yakuake-20220823-r0.apk 19-Mar-2023 22:42 21745 mautrix-discord-0.6.5-r2.apk 07-Apr-2024 00:49 5857746 mautrix-discord-doc-0.6.5-r2.apk 07-Apr-2024 00:49 13270 mautrix-discord-openrc-0.6.5-r2.apk 07-Apr-2024 00:49 1785 mautrix-gmessages-0.4.0-r0.apk 16-Apr-2024 17:35 6181669 mautrix-gmessages-doc-0.4.0-r0.apk 16-Apr-2024 17:35 13292 mautrix-gmessages-openrc-0.4.0-r0.apk 16-Apr-2024 17:35 1806 mautrix-meta-0.3.0-r0.apk 16-Apr-2024 17:33 7172434 mautrix-meta-doc-0.3.0-r0.apk 16-Apr-2024 17:33 13297 mautrix-meta-openrc-0.3.0-r0.apk 16-Apr-2024 17:33 1833 mautrix-slack-0_git20230925-r2.apk 07-Apr-2024 00:49 5284343 mautrix-slack-doc-0_git20230925-r2.apk 07-Apr-2024 00:49 13270 mautrix-slack-openrc-0_git20230925-r2.apk 07-Apr-2024 00:49 1785 maxima-5.47.0-r7.apk 19-Apr-2024 06:22 21946817 maxima-bash-completion-5.47.0-r7.apk 19-Apr-2024 06:22 2180 maxima-doc-5.47.0-r7.apk 19-Apr-2024 06:22 778937 maxima-doc-extra-5.47.0-r7.apk 19-Apr-2024 06:22 10517281 maxima-emacs-5.47.0-r7.apk 19-Apr-2024 06:22 113802 mbrola-3.3-r0.apk 16-Aug-2022 01:57 24421 mcjoin-2.11-r0.apk 12-Sep-2022 08:37 27442 mcjoin-doc-2.11-r0.apk 12-Sep-2022 08:37 55021 mcman-0.4.4-r0.apk 08-Feb-2024 19:37 3015525 mcman-doc-0.4.4-r0.apk 08-Feb-2024 19:37 13794 mcqd-1.0.0-r1.apk 01-Aug-2023 12:38 15572 mcqd-dev-1.0.0-r1.apk 01-Aug-2023 12:38 3878 md5ha1-0_git20171202-r1.apk 02-Nov-2022 08:48 9115 mdbook-katex-0.8.0-r0.apk 15-Apr-2024 21:51 680097 mdnsd-0.12-r1.apk 15-May-2023 20:51 25040 mdnsd-doc-0.12-r1.apk 15-May-2023 20:51 14437 mdnsd-libs-0.12-r1.apk 15-May-2023 20:51 19167 mdnsd-openrc-0.12-r1.apk 15-May-2023 20:51 1916 mdnsd-static-0.12-r1.apk 15-May-2023 20:51 20422 mdp-1.0.15-r1.apk 02-Nov-2022 08:48 17936 mdp-doc-1.0.15-r1.apk 02-Nov-2022 08:48 3528 mediascanner2-0.115-r0.apk 15-Mar-2024 17:51 287361 mediastreamer2-5.3.38-r0.apk 13-Apr-2024 17:05 394365 mediastreamer2-dev-5.3.38-r0.apk 13-Apr-2024 17:05 114901 mediastreamer2-doc-5.3.38-r0.apk 13-Apr-2024 17:05 107500 mediastreamer2-plugin-openh264-5.2.0_git2023102..> 13-Apr-2024 17:05 12514 mediastreamer2-plugin-x264-20200722-r6.apk 13-Apr-2024 17:05 9007 meep-1.28.0-r1.apk 05-May-2024 05:25 661498 meep-dev-1.28.0-r1.apk 05-May-2024 05:25 566200 megatools-1.11.1.20230212-r1.apk 20-Mar-2023 17:04 66680 megatools-bash-completion-1.11.1.20230212-r1.apk 20-Mar-2023 17:04 3948 megatools-doc-1.11.1.20230212-r1.apk 20-Mar-2023 17:04 53205 megazeux-2.93-r0.apk 19-Jan-2024 01:36 1154570 megazeux-doc-2.93-r0.apk 19-Jan-2024 01:36 454251 memdump-1.01-r1.apk 02-Nov-2022 08:48 5940 memdump-doc-1.01-r1.apk 02-Nov-2022 08:48 2920 menumaker-0.99.14-r1.apk 14-Oct-2022 16:16 113687 meowdict-0.10.5-r0.apk 28-Apr-2024 06:12 1223024 mergerfs-2.38.1-r0.apk 22-Jan-2024 13:53 294657 mergerfs-doc-2.38.1-r0.apk 22-Jan-2024 13:53 39442 merlin-4.14-r0.apk 24-Mar-2024 02:11 15299006 merlin-dev-4.14-r0.apk 24-Mar-2024 02:11 24166609 merlin-emacs-4.14-r0.apk 24-Mar-2024 02:11 28947 merlin-vim-4.14-r0.apk 24-Mar-2024 02:11 28313 meson-tools-0.1-r1.apk 04-Aug-2022 06:44 12364 meson-tools-doc-0.1-r1.apk 04-Aug-2022 06:44 8577 metalang99-1.13.3-r0.apk 16-May-2023 12:29 55331 metricbeat-8.13.3-r0.apk 03-May-2024 19:45 36022898 metricbeat-openrc-8.13.3-r0.apk 03-May-2024 19:45 1828 milkytracker-1.04.00-r2.apk 23-Mar-2024 13:16 1041901 milkytracker-doc-1.04.00-r2.apk 23-Mar-2024 13:16 51360 mimedefang-3.4.1-r0.apk 01-May-2023 16:45 159232 mimedefang-doc-3.4.1-r0.apk 01-May-2023 16:45 94486 mimeo-2023-r1.apk 15-Apr-2024 21:51 21646 mimeo-pyc-2023-r1.apk 15-Apr-2024 21:51 42304 mimir-2.11.0-r2.apk 07-Apr-2024 00:49 61241785 mimir-openrc-2.11.0-r2.apk 07-Apr-2024 00:49 1710 minidyndns-1.3.0-r3.apk 20-Oct-2021 03:31 11922 minidyndns-doc-1.3.0-r3.apk 20-Oct-2021 03:31 5231 minidyndns-openrc-1.3.0-r3.apk 20-Oct-2021 03:31 1890 minimodem-0.24-r1.apk 02-Nov-2022 08:48 21924 minimodem-doc-0.24-r1.apk 02-Nov-2022 08:48 5057 minisatip-1.3.4-r0.apk 15-Mar-2024 06:19 315576 minisatip-openrc-1.3.4-r0.apk 15-Mar-2024 06:19 1678 mint-themes-2.1.1-r0.apk 12-Jun-2023 15:01 1980 mint-themes-doc-2.1.1-r0.apk 12-Jun-2023 15:01 12862 mint-x-icons-1.6.5-r1.apk 31-Oct-2023 06:57 23800013 mint-x-icons-doc-1.6.5-r1.apk 31-Oct-2023 06:57 7108 mint-x-theme-2.1.1-r0.apk 12-Jun-2023 15:01 2116 mint-x-theme-gtk2-2.1.1-r0.apk 12-Jun-2023 15:01 501369 mint-x-theme-gtk3-2.1.1-r0.apk 12-Jun-2023 15:01 617698 mint-x-theme-gtk4-2.1.1-r0.apk 12-Jun-2023 15:01 522466 mint-x-theme-metacity-2.1.1-r0.apk 12-Jun-2023 15:01 5933 mint-x-theme-xfwm4-2.1.1-r0.apk 12-Jun-2023 15:01 35372 mint-y-icons-1.7.2-r0.apk 31-Dec-2023 19:13 73939105 mint-y-icons-doc-1.7.2-r0.apk 31-Dec-2023 19:13 10242 mint-y-theme-2.1.1-r0.apk 12-Jun-2023 15:01 3963 mint-y-theme-gtk2-2.1.1-r0.apk 12-Jun-2023 15:01 671617 mint-y-theme-gtk3-2.1.1-r0.apk 12-Jun-2023 15:01 1913762 mint-y-theme-gtk4-2.1.1-r0.apk 12-Jun-2023 15:01 1633567 mint-y-theme-metacity-2.1.1-r0.apk 12-Jun-2023 15:01 58848 mint-y-theme-xfwm4-2.1.1-r0.apk 12-Jun-2023 15:01 211716 mir-2.15.0-r1.apk 22-Apr-2024 18:58 1826315 mir-demos-2.15.0-r1.apk 22-Apr-2024 18:58 126643 mir-dev-2.15.0-r1.apk 22-Apr-2024 18:58 4688119 mir-test-tools-2.15.0-r1.apk 22-Apr-2024 18:58 224335 miraclecast-1.0_git20221016-r0.apk 21-Oct-2022 21:53 160579 miraclecast-bash-completion-1.0_git20221016-r0.apk 21-Oct-2022 21:53 2684 mitra-2.17.1-r0.apk 30-Apr-2024 02:36 11404648 mitra-doc-2.17.1-r0.apk 30-Apr-2024 02:36 18443 mitra-openrc-2.17.1-r0.apk 30-Apr-2024 02:36 1679 mixxx-2.3.6-r2.apk 13-Dec-2023 20:21 19946203 mixxx-doc-2.3.6-r2.apk 13-Dec-2023 20:21 172006 mjpg-streamer-0_git20210220-r1.apk 02-Nov-2022 08:48 203540 mkcert-1.4.4-r11.apk 07-Apr-2024 00:49 1558079 mkdocs-bootstrap-1.1.1-r1.apk 15-Apr-2024 21:51 27802 mkdocs-bootstrap-pyc-1.1.1-r1.apk 15-Apr-2024 21:51 1607 mkdocs-bootstrap386-0.0.2-r4.apk 15-Apr-2024 21:51 808018 mkdocs-bootstrap386-pyc-0.0.2-r4.apk 15-Apr-2024 21:51 1615 mkdocs-bootstrap4-0.1.5-r4.apk 15-Apr-2024 21:51 264783 mkdocs-bootstrap4-pyc-0.1.5-r4.apk 15-Apr-2024 21:51 1606 mkdocs-bootswatch-1.1-r4.apk 15-Apr-2024 21:51 547387 mkdocs-bootswatch-pyc-1.1-r4.apk 15-Apr-2024 21:51 4578 mkdocs-cinder-1.2.0-r4.apk 15-Apr-2024 21:51 251156 mkdocs-cinder-pyc-1.2.0-r4.apk 15-Apr-2024 21:51 1593 mkdocs-cluster-0.0.9-r4.apk 15-Apr-2024 21:51 664462 mkdocs-cluster-pyc-0.0.9-r4.apk 15-Apr-2024 21:51 1610 mkdocs-gitbook-0.0.1-r4.apk 15-Apr-2024 21:51 653645 mkdocs-gitbook-pyc-0.0.1-r4.apk 15-Apr-2024 21:51 1615 mkdocs-ivory-0.4.6-r4.apk 15-Apr-2024 21:51 9162 mkdocs-ivory-pyc-0.4.6-r4.apk 15-Apr-2024 21:51 1592 mkdocs-rtd-dropdown-1.0.2-r4.apk 15-Apr-2024 21:51 252102 mkdocs-rtd-dropdown-pyc-1.0.2-r4.apk 15-Apr-2024 21:51 1606 mkdocs-windmill-1.0.5-r3.apk 15-Apr-2024 21:51 965213 mkdocs-windmill-pyc-1.0.5-r3.apk 15-Apr-2024 21:51 1605 mkg3a-0.5.0-r1.apk 02-Nov-2022 08:48 18061 mkg3a-doc-0.5.0-r1.apk 02-Nov-2022 08:48 2868 mktorrent-borg-0.9.9-r1.apk 04-Aug-2022 06:44 11308 mktorrent-borg-doc-0.9.9-r1.apk 04-Aug-2022 06:44 2546 mlxl-0.1-r0.apk 18-Mar-2023 10:50 6293 mm-1.4.2-r1.apk 28-Mar-2017 19:32 7889 mm-common-1.0.5-r0.apk 01-Jan-2023 22:06 484118 mm-common-doc-1.0.5-r0.apk 01-Jan-2023 22:06 32194 mm-dev-1.4.2-r1.apk 28-Mar-2017 19:32 13326 mm-doc-1.4.2-r1.apk 28-Mar-2017 19:32 14627 mml-1.0.0-r0.apk 10-Nov-2023 23:48 935975 mml-bash-completion-1.0.0-r0.apk 10-Nov-2023 23:48 2096 mml-doc-1.0.0-r0.apk 10-Nov-2023 23:48 3746 mml-fish-completion-1.0.0-r0.apk 10-Nov-2023 23:48 2067 mml-zsh-completion-1.0.0-r0.apk 10-Nov-2023 23:48 2643 mmtc-0.3.2-r0.apk 12-Nov-2023 10:22 550878 mnamer-2.5.5-r1.apk 15-Apr-2024 21:51 32207 mnamer-pyc-2.5.5-r1.apk 15-Apr-2024 21:51 61666 mobpass-0.2-r5.apk 15-Apr-2024 21:51 5572 mobpass-pyc-0.2-r5.apk 15-Apr-2024 21:51 5117 modem-manager-gui-0.0.20-r0.apk 29-Oct-2021 13:58 358460 modem-manager-gui-doc-0.0.20-r0.apk 29-Oct-2021 13:58 4130240 modem-manager-gui-lang-0.0.20-r0.apk 29-Oct-2021 13:58 132370 moderncli-0.8.1-r0.apk 13-Feb-2024 21:03 1214 moderncli-dev-0.8.1-r0.apk 13-Feb-2024 21:03 15367 moderncli-doc-0.8.1-r0.apk 13-Feb-2024 21:03 5004 moe-1.14-r0.apk 21-Jan-2024 03:06 114571 moe-doc-1.14-r0.apk 21-Jan-2024 03:06 19192 moka-icon-theme-5.4.0-r2.apk 13-Jan-2021 16:35 119267281 monetdb-11.33.11-r4.apk 29-Apr-2023 21:47 2497496 monetdb-dev-11.33.11-r4.apk 29-Apr-2023 21:47 78690 monetdb-doc-11.33.11-r4.apk 29-Apr-2023 21:47 328366 mongo-cxx-driver-3.8.0-r0.apk 19-Aug-2023 06:40 191575 mongo-cxx-driver-dev-3.8.0-r0.apk 19-Aug-2023 06:40 90751 moon-buggy-1.0.51-r1.apk 02-Nov-2022 08:48 38603 moon-buggy-doc-1.0.51-r1.apk 02-Nov-2022 08:48 7037 moosefs-3.0.117-r1.apk 17-Jun-2023 21:33 204300 moosefs-cgi-3.0.117-r1.apk 17-Jun-2023 21:33 64727 moosefs-cgiserv-3.0.117-r1.apk 17-Jun-2023 21:33 7735 moosefs-cgiserv-openrc-3.0.117-r1.apk 17-Jun-2023 21:33 1821 moosefs-chunkserver-3.0.117-r1.apk 17-Jun-2023 21:33 155028 moosefs-chunkserver-openrc-3.0.117-r1.apk 17-Jun-2023 21:33 1466 moosefs-client-3.0.117-r1.apk 17-Jun-2023 21:33 291419 moosefs-doc-3.0.117-r1.apk 17-Jun-2023 21:33 66108 moosefs-master-3.0.117-r1.apk 17-Jun-2023 21:33 297512 moosefs-master-openrc-3.0.117-r1.apk 17-Jun-2023 21:33 1447 moosefs-metalogger-3.0.117-r1.apk 17-Jun-2023 21:33 33960 moosefs-metalogger-openrc-3.0.117-r1.apk 17-Jun-2023 21:33 1459 moosefs-static-3.0.117-r1.apk 17-Jun-2023 21:33 598479 motion-4.6.0-r0.apk 13-Nov-2023 22:52 144145 motion-doc-4.6.0-r0.apk 13-Nov-2023 22:52 143994 motion-lang-4.6.0-r0.apk 13-Nov-2023 22:52 499208 motion-openrc-4.6.0-r0.apk 13-Nov-2023 22:52 2052 mp3gain-1.6.2-r2.apk 25-Sep-2023 19:27 32768 mp3val-0.1.8-r1.apk 14-Oct-2022 16:16 13908 mpdcron-0.3-r1.apk 02-Nov-2022 08:48 100427 mpdcron-dev-0.3-r1.apk 02-Nov-2022 08:48 66108 mpdcron-doc-0.3-r1.apk 02-Nov-2022 08:48 13418 mpdcron-zsh-completion-0.3-r1.apk 02-Nov-2022 08:48 2687 mpdris2-0.9.1-r3.apk 27-Jul-2022 23:56 15549 mpdris2-doc-0.9.1-r3.apk 27-Jul-2022 23:56 15225 mpdris2-lang-0.9.1-r3.apk 27-Jul-2022 23:56 2392 mpdris2-rs-0.2.3-r0.apk 05-Mar-2024 21:05 820187 mpdris2-rs-doc-0.2.3-r0.apk 05-Mar-2024 21:05 13660 mpop-1.4.18-r0.apk 31-Jan-2023 22:01 75205 mpop-doc-1.4.18-r0.apk 31-Jan-2023 22:01 33639 mpop-lang-1.4.18-r0.apk 31-Jan-2023 22:01 105221 mpop-vim-1.4.18-r0.apk 31-Jan-2023 22:01 2487 mpvpaper-1.5-r0.apk 04-May-2024 11:21 26111 mpvpaper-doc-1.5-r0.apk 04-May-2024 11:21 3401 mqtt2prometheus-0.1.7-r8.apk 07-Apr-2024 00:49 4101237 mrsh-0_git20210518-r1.apk 02-Nov-2022 08:48 5631 mrsh-dbg-0_git20210518-r1.apk 02-Nov-2022 08:48 203173 mrsh-dev-0_git20210518-r1.apk 02-Nov-2022 08:48 9961 mrsh-libs-0_git20210518-r1.apk 02-Nov-2022 08:48 65353 msgpuck-2.0-r1.apk 22-Feb-2020 16:50 1206 msgpuck-dev-2.0-r1.apk 22-Feb-2020 16:50 24551 msgpuck-doc-2.0-r1.apk 22-Feb-2020 16:50 7499 msh-2.5.0-r4.apk 07-Apr-2024 00:49 2643580 msh-openrc-2.5.0-r4.apk 07-Apr-2024 00:49 1819 mspdebug-0.25-r1.apk 02-Nov-2022 08:48 225125 mspdebug-doc-0.25-r1.apk 02-Nov-2022 08:48 14287 mtg-2.1.7-r13.apk 07-Apr-2024 00:49 4165875 mtg-openrc-2.1.7-r13.apk 07-Apr-2024 00:49 1688 muon-0.2.0-r2.apk 28-Mar-2024 20:31 231314 muon-doc-0.2.0-r2.apk 28-Mar-2024 20:31 68896 muse-4.2.1-r1.apk 16-Apr-2024 16:11 6684915 muse-doc-4.2.1-r1.apk 16-Apr-2024 16:11 4314225 musikcube-3.0.2-r1.apk 13-Dec-2023 20:21 2512954 musikcube-dev-3.0.2-r1.apk 13-Dec-2023 20:21 20790 musikcube-plugin-all-3.0.2-r1.apk 13-Dec-2023 20:21 1300 musikcube-plugin-httpdatastream-3.0.2-r1.apk 13-Dec-2023 20:21 87625 musikcube-plugin-mpris-3.0.2-r1.apk 13-Dec-2023 20:21 22724 musikcube-plugin-openmpt-3.0.2-r1.apk 13-Dec-2023 20:21 33344 musikcube-plugin-server-3.0.2-r1.apk 13-Dec-2023 20:21 406431 musikcube-plugin-stockencoders-3.0.2-r1.apk 13-Dec-2023 20:21 21972 musikcube-plugin-supereqdsp-3.0.2-r1.apk 13-Dec-2023 20:21 30411 musikcube-plugin-taglibreader-3.0.2-r1.apk 13-Dec-2023 20:21 37143 mxclient-0_git20211002-r1.apk 02-Nov-2022 08:48 79540 mypaint-2.0.1-r0.apk 23-Apr-2023 06:02 4069723 mypaint-lang-2.0.1-r0.apk 23-Apr-2023 06:02 1248059 mypaint-pyc-2.0.1-r0.apk 23-Apr-2023 06:02 1226959 n30f-2.0-r3.apk 02-Nov-2022 08:48 7292 nano-hare-0_git20231021-r0.apk 31-Jan-2024 16:23 1965 nauty-2.8.8-r0.apk 08-Dec-2023 02:12 6197748 nauty-dev-2.8.8-r0.apk 08-Dec-2023 02:12 4520430 nb-7.12.1-r0.apk 23-Feb-2024 23:36 152811 nb-bash-completion-7.12.1-r0.apk 23-Feb-2024 23:36 2807 nb-doc-7.12.1-r0.apk 23-Feb-2024 23:36 77448 nb-fish-completion-7.12.1-r0.apk 23-Feb-2024 23:36 2606 nb-full-7.12.1-r0.apk 23-Feb-2024 23:36 1248 nb-zsh-completion-7.12.1-r0.apk 23-Feb-2024 23:36 2767 nbsdgames-5-r0.apk 04-May-2022 13:20 147309 nbsdgames-doc-5-r0.apk 04-May-2022 13:20 9617 ndpi-4.8-r0.apk 24-Oct-2023 06:35 1578866 ndpi-dev-4.8-r0.apk 24-Oct-2023 06:35 975185 neard-0.19-r0.apk 19-Sep-2023 19:51 139354 neard-dev-0.19-r0.apk 19-Sep-2023 19:51 11086 neard-doc-0.19-r0.apk 19-Sep-2023 19:51 5472 neard-openrc-0.19-r0.apk 19-Sep-2023 19:51 1484 nemo-qml-plugin-alarms-0.3.10-r1.apk 01-Nov-2021 16:16 59232 neo4j-client-2.2.0-r3.apk 20-Aug-2022 20:31 30880 neo4j-client-doc-2.2.0-r3.apk 20-Aug-2022 20:31 5484 netdiscover-0.10-r0.apk 29-Sep-2023 23:01 422365 netdiscover-doc-0.10-r0.apk 29-Sep-2023 23:01 22248 netsed-1.3-r3.apk 02-Nov-2022 08:48 10531 netsurf-3.11-r0.apk 03-Feb-2024 13:34 2287220 netsurf-doc-3.11-r0.apk 03-Feb-2024 13:34 4219 netsurf-framebuffer-3.11-r0.apk 03-Feb-2024 13:34 3095167 netsurf-framebuffer-doc-3.11-r0.apk 03-Feb-2024 13:34 3718 newsyslog-1.2.0.91-r1.apk 31-May-2023 07:03 18153 newsyslog-doc-1.2.0.91-r1.apk 31-May-2023 07:03 24265 nextpnr-0.6-r2.apk 22-Apr-2024 18:58 1220 nextpnr-ecp5-0.6-r2.apk 22-Apr-2024 18:58 26662155 nextpnr-generic-0.6-r2.apk 22-Apr-2024 18:58 770158 nextpnr-gowin-0.6-r2.apk 22-Apr-2024 18:58 1345581 nextpnr-ice40-0.6-r2.apk 22-Apr-2024 18:59 71899714 nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> 02-Nov-2023 11:36 732991 nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> 02-Nov-2023 11:36 21650 ngs-0.2.14-r0.apk 08-Oct-2022 22:05 301964 ngs-aws-0.2.14-r0.apk 08-Oct-2022 22:05 33465 ngs-vim-0.2.14-r0.apk 08-Oct-2022 22:05 5013 nicotine-plus-3.3.2-r1.apk 15-Apr-2024 21:51 1232620 nicotine-plus-doc-3.3.2-r1.apk 15-Apr-2024 21:51 2201 nicotine-plus-lang-3.3.2-r1.apk 15-Apr-2024 21:51 590731 nicotine-plus-pyc-3.3.2-r1.apk 15-Apr-2024 21:51 772812 nitro-2.7_beta8-r2.apk 19-Oct-2023 16:18 540692 nitro-dev-2.7_beta8-r2.apk 19-Oct-2023 16:18 194271 nitrocli-0.4.1-r3.apk 24-May-2023 07:48 472562 nitrocli-bash-completion-0.4.1-r3.apk 24-May-2023 07:48 3051 nitrocli-doc-0.4.1-r3.apk 24-May-2023 07:48 8772 nixpacks-0.1.7-r1.apk 24-May-2023 07:48 967528 nkk-0_git20221010-r0.apk 23-Feb-2023 19:40 16011 nkk-dev-0_git20221010-r0.apk 23-Feb-2023 19:40 2707 nkk-doc-0_git20221010-r0.apk 23-Feb-2023 19:40 6875 nlopt-2.7.1-r0.apk 06-May-2022 09:53 198930 nlopt-dev-2.7.1-r0.apk 06-May-2022 09:53 12525 nlopt-doc-2.7.1-r0.apk 06-May-2022 09:53 23207 nm-tray-0.5.0-r0.apk 28-Jan-2024 22:12 104892 nm-tray-lang-0.5.0-r0.apk 28-Jan-2024 22:12 27289 nmail-4.54-r0.apk 24-Mar-2024 16:11 469168 nmail-doc-4.54-r0.apk 24-Mar-2024 16:11 3245 nmail-oauth-4.54-r0.apk 24-Mar-2024 16:11 4880 nmap-parse-output-1.5.1-r0.apk 12-Jun-2022 21:55 21912 nmap-parse-output-bash-completion-1.5.1-r0.apk 12-Jun-2022 21:55 1776 nmap-parse-output-doc-1.5.1-r0.apk 12-Jun-2022 21:55 826864 nmon-16q-r0.apk 30-Apr-2024 03:47 84800 noblenote-1.2.1-r1.apk 02-Nov-2022 08:48 412665 node-libpg-query-13.1.2-r4.apk 03-Apr-2024 10:47 19365 noggin-model-0.1-r0.apk 01-Jun-2023 00:19 12575885 noggin-model-lightweight-0.1-r0.apk 01-Jun-2023 00:19 1762071 noice-0.8-r1.apk 02-Nov-2022 08:48 9961 noice-doc-0.8-r1.apk 02-Nov-2022 08:48 3173 nom-2.1.4-r0.apk 09-Apr-2024 14:29 7436698 normaliz-3.10.2-r1.apk 22-Apr-2024 18:59 41856 normaliz-dev-3.10.2-r1.apk 22-Apr-2024 18:59 72444 normaliz-libs-3.10.2-r1.apk 22-Apr-2024 18:59 2630150 noson-2.10.3-r0.apk 10-Jun-2023 15:23 321328 noson-app-5.4.1-r1.apk 28-Aug-2023 12:01 1355360 noson-app-dbg-5.4.1-r1.apk 28-Aug-2023 12:01 13412504 noson-dbg-2.10.3-r0.apk 10-Jun-2023 15:23 3180106 noson-dev-2.10.3-r0.apk 10-Jun-2023 15:23 34645 nsh-0.4.2-r1.apk 24-May-2023 07:48 661872 nsh-dbg-0.4.2-r1.apk 24-May-2023 07:48 3509384 nsnake-3.0.0-r0.apk 15-Apr-2022 12:08 10108 nsnake-doc-3.0.0-r0.apk 15-Apr-2022 12:08 2691 nsq-1.3.0-r2.apk 07-Apr-2024 00:49 23026780 ntfy-alertmanager-0.3.0-r0.apk 18-Apr-2024 00:20 2578011 ntfy-alertmanager-openrc-0.3.0-r0.apk 18-Apr-2024 00:20 1444 nuklear-4.12.0-r0.apk 18-Feb-2024 01:31 224845 nuklear-doc-4.12.0-r0.apk 18-Feb-2024 01:31 43187 nullmailer-2.2-r4.apk 13-Dec-2021 15:08 144378 nullmailer-doc-2.2-r4.apk 13-Dec-2021 15:08 10460 nullmailer-openrc-2.2-r4.apk 13-Dec-2021 15:08 1635 numbat-1.9.0-r0.apk 06-Feb-2024 03:37 1130478 numbat-doc-1.9.0-r0.apk 06-Feb-2024 03:37 25082 nuzzle-1.5-r0.apk 08-Dec-2023 13:14 11924 nuzzle-doc-1.5-r0.apk 08-Dec-2023 13:14 3129 nvim-cmp-0.0.0_git20221011-r0.apk 13-Oct-2022 20:59 56222 nvim-cmp-buffer-0.0.0_git20220810-r0.apk 13-Oct-2022 20:59 8061 nvim-cmp-buffer-doc-0.0.0_git20220810-r0.apk 13-Oct-2022 20:59 4314 nvim-cmp-cmdline-0.0.0_git20220902-r0.apk 13-Oct-2022 20:59 3456 nvim-cmp-cmdline-doc-0.0.0_git20220902-r0.apk 13-Oct-2022 20:59 1889 nvim-cmp-doc-0.0.0_git20221011-r0.apk 13-Oct-2022 20:59 10763 nvim-cmp-lsp-0.0.0_git20220516-r0.apk 15-Jun-2022 21:16 3355 nvim-cmp-lsp-doc-0.0.0_git20220516-r0.apk 15-Jun-2022 21:16 2460 nvim-cmp-luasnip-0.0.0_git20220501-r0.apk 13-Aug-2022 14:26 3663 nvim-cmp-luasnip-doc-0.0.0_git20220501-r0.apk 13-Aug-2022 14:26 2139 nvim-cmp-path-0.0.0_git20221002-r0.apk 13-Oct-2022 20:59 3926 nvim-cmp-path-doc-0.0.0_git20221002-r0.apk 13-Oct-2022 20:59 2073 nvim-gruvbox-0.0.0_git20221212-r0.apk 18-Dec-2022 18:18 10220 nvim-gruvbox-doc-0.0.0_git20221212-r0.apk 18-Dec-2022 18:18 2657 nvim-lualine-0.0.0_git20221006-r0.apk 13-Oct-2022 20:59 60827 nvim-lualine-doc-0.0.0_git20221006-r0.apk 13-Oct-2022 20:59 19302 nvim-packer-0.0.0_git20220910-r0.apk 13-Oct-2022 20:59 46780 nvim-packer-doc-0.0.0_git20220910-r0.apk 13-Oct-2022 20:59 21762 nvim-treesitter-0.0.0_git20221013-r0.apk 13-Oct-2022 20:59 198336 nvim-treesitter-doc-0.0.0_git20221013-r0.apk 13-Oct-2022 20:59 18714 nvimpager-0.12.0-r0.apk 22-Jun-2023 10:22 12625 nvimpager-doc-0.12.0-r0.apk 22-Jun-2023 10:22 4261 nvimpager-zsh-completion-0.12.0-r0.apk 22-Jun-2023 10:22 1600 nvtop-3.1.0-r0.apk 24-Feb-2024 12:42 60148 nvtop-doc-3.1.0-r0.apk 24-Feb-2024 12:42 3347 nwg-bar-0.1.6-r2.apk 07-Apr-2024 00:49 1527807 nwg-displays-0.3.13-r1.apk 15-Apr-2024 21:51 23906 nwg-displays-pyc-0.3.13-r1.apk 15-Apr-2024 21:51 35331 nwg-dock-0.3.9-r3.apk 07-Apr-2024 00:49 1617647 nymphcast-mediaserver-0.1-r2.apk 08-Jan-2023 04:30 77413 nymphcast-mediaserver-nftables-0.1-r2.apk 08-Jan-2023 04:30 1485 nzbget-21.1-r2.apk 29-Apr-2023 21:47 1089107 nzbget-doc-21.1-r2.apk 29-Apr-2023 21:47 70163 oauth2-proxy-7.6.0-r3.apk 07-Apr-2024 00:50 7720850 oauth2-proxy-openrc-7.6.0-r3.apk 07-Apr-2024 00:50 1950 objconv-2.52_git20210213-r2.apk 02-Nov-2022 08:48 282744 ocaml-alcotest-1.5.0-r4.apk 22-Apr-2024 06:21 484232 ocaml-alcotest-dev-1.5.0-r4.apk 22-Apr-2024 06:21 866624 ocaml-amqp-client-2.3.0-r0.apk 22-Apr-2024 06:21 624136 ocaml-amqp-client-dev-2.3.0-r0.apk 22-Apr-2024 06:21 1102630 ocaml-angstrom-0.16.0-r0.apk 24-Mar-2024 02:11 184165 ocaml-angstrom-dev-0.16.0-r0.apk 24-Mar-2024 02:11 360843 ocaml-arp-3.0.0-r3.apk 24-Mar-2024 02:11 90067 ocaml-arp-dev-3.0.0-r3.apk 24-Mar-2024 02:11 167011 ocaml-asn1-combinators-0.2.6-r2.apk 24-Mar-2024 02:11 327161 ocaml-asn1-combinators-dev-0.2.6-r2.apk 24-Mar-2024 02:11 605211 ocaml-astring-0.8.5-r2.apk 24-Mar-2024 02:11 298173 ocaml-astring-dev-0.8.5-r2.apk 24-Mar-2024 02:11 200611 ocaml-atd-2.15.0-r0.apk 22-Apr-2024 06:21 7430395 ocaml-atd-dev-2.15.0-r0.apk 22-Apr-2024 06:21 2044553 ocaml-base-0.16.3-r0.apk 24-Mar-2024 02:11 4851319 ocaml-base-dev-0.16.3-r0.apk 24-Mar-2024 02:11 10319591 ocaml-base64-3.5.0-r2.apk 24-Mar-2024 02:11 95280 ocaml-base64-dev-3.5.0-r2.apk 24-Mar-2024 02:11 184970 ocaml-bigarray-compat-1.1.0-r2.apk 24-Mar-2024 02:11 13258 ocaml-bigarray-compat-dev-1.1.0-r2.apk 24-Mar-2024 02:11 12487 ocaml-bigstringaf-0.9.0-r2.apk 24-Mar-2024 02:11 49485 ocaml-bigstringaf-dev-0.9.0-r2.apk 24-Mar-2024 02:11 94116 ocaml-biniou-1.2.1-r5.apk 24-Mar-2024 02:11 609179 ocaml-biniou-dev-1.2.1-r5.apk 24-Mar-2024 02:11 384556 ocaml-bisect_ppx-2.8.3-r0.apk 24-Mar-2024 02:11 5122116 ocaml-bisect_ppx-dev-2.8.3-r0.apk 24-Mar-2024 02:11 576133 ocaml-bitstring-4.1.0-r3.apk 24-Mar-2024 02:11 4481076 ocaml-bitstring-dev-4.1.0-r3.apk 24-Mar-2024 02:11 598814 ocaml-bos-0.2.1-r2.apk 24-Mar-2024 02:11 480935 ocaml-bos-dev-0.2.1-r2.apk 24-Mar-2024 02:11 382992 ocaml-ca-certs-0.2.2-r2.apk 24-Mar-2024 02:11 32418 ocaml-ca-certs-dev-0.2.2-r2.apk 24-Mar-2024 02:11 42274 ocaml-ca-certs-nss-3.89.1-r1.apk 24-Mar-2024 02:11 404247 ocaml-ca-certs-nss-dev-3.89.1-r1.apk 24-Mar-2024 02:11 458597 ocaml-ca-certs-nss-tools-3.89.1-r1.apk 24-Mar-2024 02:11 1677237 ocaml-cairo2-0.6.2-r2.apk 24-Mar-2024 02:11 174555 ocaml-cairo2-dev-0.6.2-r2.apk 24-Mar-2024 02:11 460326 ocaml-calendar-2.04-r4.apk 24-Mar-2024 02:11 230326 ocaml-calendar-dev-2.04-r4.apk 24-Mar-2024 02:11 196976 ocaml-calendar-doc-2.04-r4.apk 24-Mar-2024 02:11 11892 ocaml-camlzip-1.11-r2.apk 24-Mar-2024 02:11 119403 ocaml-camlzip-dev-1.11-r2.apk 24-Mar-2024 02:11 229350 ocaml-camomile-1.0.2-r3.apk 24-Mar-2024 02:11 1406341 ocaml-camomile-data-1.0.2-r3.apk 24-Mar-2024 02:11 5343182 ocaml-camomile-dev-1.0.2-r3.apk 24-Mar-2024 02:11 2665516 ocaml-charinfo_width-1.1.0-r3.apk 24-Mar-2024 02:11 108523 ocaml-charinfo_width-dev-1.1.0-r3.apk 24-Mar-2024 02:11 200551 ocaml-cmdliner-1.1.1-r3.apk 24-Mar-2024 02:11 486002 ocaml-cmdliner-dev-1.1.1-r3.apk 24-Mar-2024 02:11 270148 ocaml-cmdliner-doc-1.1.1-r3.apk 24-Mar-2024 02:11 19973 ocaml-cohttp-5.3.1-r0.apk 22-Apr-2024 06:21 699641 ocaml-cohttp-dev-5.3.1-r0.apk 22-Apr-2024 06:21 1390802 ocaml-cohttp-tools-5.3.1-r0.apk 22-Apr-2024 06:21 8419545 ocaml-compiler-libs-repackaged-0.12.4-r3.apk 24-Mar-2024 02:11 85091 ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk 24-Mar-2024 02:11 106086 ocaml-conduit-6.1.0-r0.apk 22-Apr-2024 06:21 301969 ocaml-conduit-dev-6.1.0-r0.apk 22-Apr-2024 06:21 575688 ocaml-containers-3.7-r2.apk 24-Mar-2024 02:11 3746646 ocaml-containers-dev-3.7-r2.apk 24-Mar-2024 02:12 7200455 ocaml-containers-top-3.7-r2.apk 24-Mar-2024 02:12 25335 ocaml-cstruct-6.1.0-r3.apk 24-Mar-2024 02:12 4609987 ocaml-cstruct-dev-6.1.0-r3.apk 24-Mar-2024 02:12 738847 ocaml-ctypes-0.20.1-r2.apk 24-Mar-2024 02:12 917209 ocaml-ctypes-dev-0.20.1-r2.apk 24-Mar-2024 02:12 923597 ocaml-curses-1.0.10-r2.apk 24-Mar-2024 02:12 146558 ocaml-curses-dev-1.0.10-r2.apk 24-Mar-2024 02:12 329580 ocaml-dns-6.2.2-r3.apk 24-Mar-2024 02:12 2398238 ocaml-dns-dev-6.2.2-r3.apk 24-Mar-2024 02:12 4449905 ocaml-dns-tools-6.2.2-r3.apk 24-Mar-2024 02:12 11754049 ocaml-domain-name-0.4.0-r2.apk 24-Mar-2024 02:12 77268 ocaml-domain-name-dev-0.4.0-r2.apk 24-Mar-2024 02:12 147843 ocaml-down-0.1.0-r3.apk 24-Mar-2024 02:12 668994 ocaml-down-dev-0.1.0-r3.apk 24-Mar-2024 02:12 376581 ocaml-duration-0.2.0-r2.apk 24-Mar-2024 02:12 27553 ocaml-duration-dev-0.2.0-r2.apk 24-Mar-2024 02:12 46218 ocaml-easy-format-1.3.4-r1.apk 24-Mar-2024 02:12 63088 ocaml-easy-format-dev-1.3.4-r1.apk 24-Mar-2024 02:12 116971 ocaml-eqaf-0.8-r2.apk 24-Mar-2024 02:12 74218 ocaml-eqaf-dev-0.8-r2.apk 24-Mar-2024 02:12 126538 ocaml-erm_xml-0_git20211229-r2.apk 24-Mar-2024 02:12 601131 ocaml-erm_xml-dev-0_git20211229-r2.apk 24-Mar-2024 02:12 829130 ocaml-erm_xmpp-0_git20220404-r2.apk 22-Apr-2024 06:21 1400834 ocaml-erm_xmpp-dev-0_git20220404-r2.apk 22-Apr-2024 06:21 1764254 ocaml-ethernet-3.0.0-r3.apk 24-Mar-2024 02:12 45964 ocaml-ethernet-dev-3.0.0-r3.apk 24-Mar-2024 02:12 87253 ocaml-extlib-1.7.9-r2.apk 24-Mar-2024 02:12 665300 ocaml-extlib-dev-1.7.9-r2.apk 24-Mar-2024 02:12 1391790 ocaml-extlib-doc-1.7.9-r2.apk 24-Mar-2024 02:12 10606 ocaml-ezxmlm-1.1.0-r0.apk 22-Apr-2024 06:21 33770 ocaml-ezxmlm-dev-1.1.0-r0.apk 22-Apr-2024 06:21 54771 ocaml-fileutils-0.6.4-r2.apk 24-Mar-2024 02:12 327059 ocaml-fileutils-dev-0.6.4-r2.apk 24-Mar-2024 02:12 629198 ocaml-fileutils-doc-0.6.4-r2.apk 24-Mar-2024 02:12 15915 ocaml-fix-20220121-r2.apk 24-Mar-2024 02:12 173962 ocaml-fix-dev-20220121-r2.apk 24-Mar-2024 02:12 448700 ocaml-fmt-0.9.0-r2.apk 24-Mar-2024 02:12 201454 ocaml-fmt-dev-0.9.0-r2.apk 24-Mar-2024 02:12 139808 ocaml-fpath-0.7.3-r2.apk 24-Mar-2024 02:12 144818 ocaml-fpath-dev-0.7.3-r2.apk 24-Mar-2024 02:12 110523 ocaml-gen-1.1-r1.apk 24-Mar-2024 02:12 334389 ocaml-gen-dev-1.1-r1.apk 24-Mar-2024 02:12 641019 ocaml-gettext-0.4.2-r3.apk 24-Mar-2024 02:12 3785792 ocaml-gettext-dev-0.4.2-r3.apk 24-Mar-2024 02:12 763711 ocaml-gettext-doc-0.4.2-r3.apk 24-Mar-2024 02:12 19377 ocaml-gitlab-0.1.8-r0.apk 22-Apr-2024 06:21 3195047 ocaml-gitlab-dev-0.1.8-r0.apk 22-Apr-2024 06:21 12186893 ocaml-gmap-0.3.0-r2.apk 24-Mar-2024 02:12 38073 ocaml-gmap-dev-0.3.0-r2.apk 24-Mar-2024 02:12 77693 ocaml-happy-eyeballs-0.3.0-r2.apk 24-Mar-2024 02:12 87392 ocaml-happy-eyeballs-dev-0.3.0-r2.apk 24-Mar-2024 02:12 155266 ocaml-happy-eyeballs-lwt-0.3.0-r3.apk 24-Mar-2024 02:12 43820 ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk 24-Mar-2024 02:12 62225 ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk 24-Mar-2024 02:12 2689258 ocaml-hex-1.5.0-r2.apk 24-Mar-2024 02:12 31810 ocaml-hex-dev-1.5.0-r2.apk 24-Mar-2024 02:12 56575 ocaml-higlo-0.9-r0.apk 22-Apr-2024 06:21 5421735 ocaml-higlo-dev-0.9-r0.apk 22-Apr-2024 06:21 723764 ocaml-hkdf-1.0.4-r2.apk 24-Mar-2024 02:12 15079 ocaml-hkdf-dev-1.0.4-r2.apk 24-Mar-2024 02:12 18940 ocaml-integers-0.7.0-r2.apk 24-Mar-2024 02:12 133448 ocaml-integers-dev-0.7.0-r2.apk 24-Mar-2024 02:12 288711 ocaml-ipaddr-5.3.1-r2.apk 24-Mar-2024 02:12 335068 ocaml-ipaddr-dev-5.3.1-r2.apk 24-Mar-2024 02:12 587762 ocaml-iri-1.0.0-r0.apk 22-Apr-2024 06:21 1935487 ocaml-iri-dev-1.0.0-r0.apk 22-Apr-2024 06:21 823561 ocaml-iso8601-0.2.6-r0.apk 22-Apr-2024 06:21 55175 ocaml-iso8601-dev-0.2.6-r0.apk 22-Apr-2024 06:21 92209 ocaml-jsonm-1.0.2-r0.apk 22-Apr-2024 06:21 123103 ocaml-jsonm-dev-1.0.2-r0.apk 22-Apr-2024 06:21 77036 ocaml-jsonm-tools-1.0.2-r0.apk 22-Apr-2024 06:21 485234 ocaml-lablgtk3-3.1.2-r3.apk 24-Mar-2024 02:12 8395719 ocaml-lablgtk3-dev-3.1.2-r3.apk 24-Mar-2024 02:12 14807262 ocaml-lablgtk3-extras-3.0.1-r2.apk 24-Mar-2024 02:12 920222 ocaml-lablgtk3-extras-dev-3.0.1-r2.apk 24-Mar-2024 02:12 1638636 ocaml-labltk-8.06.12-r2.apk 24-Mar-2024 02:12 3013731 ocaml-labltk-dev-8.06.12-r2.apk 24-Mar-2024 02:12 1659962 ocaml-lambda-term-3.2.0-r4.apk 24-Mar-2024 02:12 3727476 ocaml-lambda-term-dev-3.2.0-r4.apk 24-Mar-2024 02:12 4089321 ocaml-lambda-term-doc-3.2.0-r4.apk 24-Mar-2024 02:12 8748 ocaml-lambdasoup-0.7.3-r2.apk 24-Mar-2024 02:12 196280 ocaml-lambdasoup-dev-0.7.3-r2.apk 24-Mar-2024 02:12 364363 ocaml-libvirt-0.6.1.7-r0.apk 24-Mar-2024 02:12 181426 ocaml-libvirt-dev-0.6.1.7-r0.apk 24-Mar-2024 02:12 110633 ocaml-libvirt-doc-0.6.1.7-r0.apk 24-Mar-2024 02:12 13792 ocaml-logs-0.7.0-r3.apk 24-Mar-2024 02:12 128050 ocaml-logs-dev-0.7.0-r3.apk 24-Mar-2024 02:12 96124 ocaml-lru-0.3.0-r2.apk 24-Mar-2024 02:12 79156 ocaml-lru-dev-0.3.0-r2.apk 24-Mar-2024 02:12 170814 ocaml-lwd-0.3-r0.apk 24-Mar-2024 02:12 511253 ocaml-lwd-dev-0.3-r0.apk 24-Mar-2024 02:12 1065352 ocaml-lwt-5.7.0-r0.apk 24-Mar-2024 02:12 1287769 ocaml-lwt-dev-5.7.0-r0.apk 24-Mar-2024 02:12 3348194 ocaml-lwt-dllist-1.0.1-r3.apk 24-Mar-2024 02:12 23903 ocaml-lwt-dllist-dev-1.0.1-r3.apk 24-Mar-2024 02:12 41843 ocaml-lwt_log-1.1.1-r5.apk 24-Mar-2024 02:12 137685 ocaml-lwt_log-dev-1.1.1-r5.apk 24-Mar-2024 02:12 268869 ocaml-lwt_ppx-5.7.0-r0.apk 24-Mar-2024 02:12 4244507 ocaml-lwt_react-5.7.0-r0.apk 24-Mar-2024 02:12 124517 ocaml-lwt_ssl-1.2.0-r0.apk 22-Apr-2024 06:21 31286 ocaml-lwt_ssl-dev-1.2.0-r0.apk 22-Apr-2024 06:21 50092 ocaml-magic-mime-1.3.1-r0.apk 22-Apr-2024 06:21 214970 ocaml-magic-mime-dev-1.3.1-r0.apk 22-Apr-2024 06:21 351566 ocaml-markup-1.0.3-r3.apk 24-Mar-2024 02:12 1054643 ocaml-markup-dev-1.0.3-r3.apk 24-Mar-2024 02:12 2273576 ocaml-menhir-20220210-r2.apk 24-Mar-2024 02:12 2055914 ocaml-menhir-dev-20220210-r2.apk 24-Mar-2024 02:12 935384 ocaml-menhir-doc-20220210-r2.apk 24-Mar-2024 02:12 3775 ocaml-merlin-extend-0.6.1-r2.apk 24-Mar-2024 02:12 51913 ocaml-merlin-extend-dev-0.6.1-r2.apk 24-Mar-2024 02:12 95903 ocaml-metrics-0.4.0-r3.apk 24-Mar-2024 02:12 259322 ocaml-metrics-dev-0.4.0-r3.apk 24-Mar-2024 02:12 474266 ocaml-mew-0.1.0-r3.apk 24-Mar-2024 02:12 72551 ocaml-mew-dev-0.1.0-r3.apk 24-Mar-2024 02:12 100973 ocaml-mew_vi-0.5.0-r3.apk 24-Mar-2024 02:12 191381 ocaml-mew_vi-dev-0.5.0-r3.apk 24-Mar-2024 02:12 308835 ocaml-mikmatch-1.0.9-r2.apk 24-Mar-2024 02:12 155399 ocaml-mirage-clock-4.2.0-r2.apk 24-Mar-2024 02:12 33546 ocaml-mirage-clock-dev-4.2.0-r2.apk 24-Mar-2024 02:12 36090 ocaml-mirage-crypto-0.10.6-r3.apk 24-Mar-2024 02:12 1170063 ocaml-mirage-crypto-dev-0.10.6-r3.apk 24-Mar-2024 02:12 3050667 ocaml-mirage-flow-3.0.0-r3.apk 24-Mar-2024 02:12 116832 ocaml-mirage-flow-dev-3.0.0-r3.apk 24-Mar-2024 02:12 205212 ocaml-mirage-kv-4.0.1-r3.apk 24-Mar-2024 02:12 21854 ocaml-mirage-kv-dev-4.0.1-r3.apk 24-Mar-2024 02:12 45019 ocaml-mirage-net-4.0.0-r3.apk 24-Mar-2024 02:12 13524 ocaml-mirage-net-dev-4.0.0-r3.apk 24-Mar-2024 02:12 20109 ocaml-mirage-profile-0.9.1-r3.apk 24-Mar-2024 02:12 27142 ocaml-mirage-profile-dev-0.9.1-r3.apk 24-Mar-2024 02:12 32767 ocaml-mirage-random-3.0.0-r3.apk 24-Mar-2024 02:12 7688 ocaml-mirage-random-dev-3.0.0-r3.apk 24-Mar-2024 02:12 5292 ocaml-mirage-time-3.0.0-r4.apk 24-Mar-2024 02:12 14045 ocaml-mirage-time-dev-3.0.0-r4.apk 24-Mar-2024 02:12 8244 ocaml-mmap-1.2.0-r3.apk 24-Mar-2024 02:12 7797 ocaml-mmap-dev-1.2.0-r3.apk 24-Mar-2024 02:12 6530 ocaml-mqtt-0.2.2-r0.apk 22-Apr-2024 06:21 162625 ocaml-mqtt-dev-0.2.2-r0.apk 22-Apr-2024 06:21 272009 ocaml-mtime-1.4.0-r2.apk 24-Mar-2024 02:12 53593 ocaml-mtime-dev-1.4.0-r2.apk 24-Mar-2024 02:12 51090 ocaml-notty-0.2.3-r0.apk 24-Mar-2024 02:12 328786 ocaml-notty-dev-0.2.3-r0.apk 24-Mar-2024 02:12 643407 ocaml-num-1.4-r3.apk 24-Mar-2024 02:12 265286 ocaml-num-dev-1.4-r3.apk 24-Mar-2024 02:12 104720 ocaml-obuild-0.1.11-r0.apk 24-Mar-2024 02:12 1203167 ocaml-ocf-0.8.0-r3.apk 24-Mar-2024 02:12 8807321 ocaml-ocf-dev-0.8.0-r3.apk 24-Mar-2024 02:12 337669 ocaml-ocp-indent-1.8.2-r2.apk 24-Mar-2024 02:12 580778 ocaml-ocp-indent-dev-1.8.2-r2.apk 24-Mar-2024 02:12 653423 ocaml-ocp-index-1.3.6-r0.apk 24-Mar-2024 02:12 496791 ocaml-ocp-index-dev-1.3.6-r0.apk 24-Mar-2024 02:12 541733 ocaml-ocplib-endian-1.2-r3.apk 24-Mar-2024 02:12 161271 ocaml-ocplib-endian-dev-1.2-r3.apk 24-Mar-2024 02:12 273212 ocaml-omake-0.10.6-r0.apk 24-Mar-2024 02:12 1797351 ocaml-omake-doc-0.10.6-r0.apk 24-Mar-2024 02:12 7962 ocaml-omod-0.0.3-r3.apk 24-Mar-2024 02:12 343736 ocaml-omod-bin-0.0.3-r3.apk 24-Mar-2024 02:12 2988839 ocaml-omod-dev-0.0.3-r3.apk 24-Mar-2024 02:12 233578 ocaml-otoml-1.0.5-r0.apk 22-Apr-2024 06:21 457041 ocaml-otoml-dev-1.0.5-r0.apk 22-Apr-2024 06:21 817655 ocaml-otr-0.3.10-r2.apk 24-Mar-2024 02:12 293503 ocaml-otr-dev-0.3.10-r2.apk 24-Mar-2024 02:12 552968 ocaml-ounit-2.2.7-r3.apk 24-Mar-2024 02:12 560633 ocaml-ounit-dev-2.2.7-r3.apk 24-Mar-2024 02:12 1128567 ocaml-parsexp-0.16.0-r0.apk 24-Mar-2024 02:12 392901 ocaml-parsexp-dev-0.16.0-r0.apk 24-Mar-2024 02:12 989266 ocaml-pbkdf-1.2.0-r2.apk 24-Mar-2024 02:12 18599 ocaml-pbkdf-dev-1.2.0-r2.apk 24-Mar-2024 02:12 26267 ocaml-pcre-7.5.0-r4.apk 24-Mar-2024 02:12 157201 ocaml-pcre-dev-7.5.0-r4.apk 24-Mar-2024 02:12 316526 ocaml-ppx_blob-0.8.0-r0.apk 22-Apr-2024 06:21 4152506 ocaml-ppx_blob-dev-0.8.0-r0.apk 22-Apr-2024 06:21 19471 ocaml-ppx_derivers-1.2.1-r2.apk 24-Mar-2024 02:12 10373 ocaml-ppx_derivers-dev-1.2.1-r2.apk 24-Mar-2024 02:12 11588 ocaml-ppx_deriving-5.3.0-r0.apk 24-Mar-2024 02:12 5542289 ocaml-ppx_deriving-dev-5.3.0-r0.apk 24-Mar-2024 02:12 1405178 ocaml-ppx_sexp_conv-0.16.0-r0.apk 24-Mar-2024 02:12 605654 ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk 24-Mar-2024 02:12 1225660 ocaml-ppxlib-0.32.0-r0.apk 24-Mar-2024 02:12 13780876 ocaml-ppxlib-dev-0.32.0-r0.apk 24-Mar-2024 02:12 17061031 ocaml-psq-0.2.0-r2.apk 24-Mar-2024 02:12 100892 ocaml-psq-dev-0.2.0-r2.apk 24-Mar-2024 02:12 181263 ocaml-ptime-1.0.0-r2.apk 24-Mar-2024 02:12 118425 ocaml-ptime-dev-1.0.0-r2.apk 24-Mar-2024 02:12 80059 ocaml-ptmap-2.0.5-r3.apk 24-Mar-2024 02:12 55849 ocaml-ptmap-dev-2.0.5-r3.apk 24-Mar-2024 02:12 98001 ocaml-qcheck-0.18.1-r3.apk 24-Mar-2024 02:12 697709 ocaml-qcheck-dev-0.18.1-r3.apk 24-Mar-2024 02:12 1449777 ocaml-qtest-2.11.2-r3.apk 24-Mar-2024 02:12 359324 ocaml-qtest-dev-2.11.2-r3.apk 24-Mar-2024 02:12 3464 ocaml-randomconv-0.1.3-r2.apk 24-Mar-2024 02:12 14812 ocaml-randomconv-dev-0.1.3-r2.apk 24-Mar-2024 02:12 18501 ocaml-re-1.11.0-r1.apk 24-Mar-2024 02:12 558577 ocaml-re-dev-1.11.0-r1.apk 24-Mar-2024 02:12 1026393 ocaml-react-1.2.2-r2.apk 24-Mar-2024 02:12 294453 ocaml-react-dev-1.2.2-r2.apk 24-Mar-2024 02:12 215746 ocaml-reason-3.8.2-r1.apk 24-Mar-2024 02:12 16208249 ocaml-reason-dev-3.8.2-r1.apk 24-Mar-2024 02:12 30613310 ocaml-result-1.5-r2.apk 24-Mar-2024 02:12 9653 ocaml-result-dev-1.5-r2.apk 24-Mar-2024 02:12 8224 ocaml-rresult-0.7.0-r2.apk 24-Mar-2024 02:12 42264 ocaml-rresult-dev-0.7.0-r2.apk 24-Mar-2024 02:12 37848 ocaml-sedlex-3.2-r0.apk 24-Mar-2024 02:12 4604434 ocaml-sedlex-dev-3.2-r0.apk 24-Mar-2024 02:12 1598199 ocaml-seq-0.3.1-r2.apk 24-Mar-2024 02:12 15236 ocaml-seq-dev-0.3.1-r2.apk 24-Mar-2024 02:12 21028 ocaml-sexplib-0.16.0-r0.apk 24-Mar-2024 02:12 509238 ocaml-sexplib-dev-0.16.0-r0.apk 24-Mar-2024 02:12 868566 ocaml-sexplib0-0.16.0-r0.apk 24-Mar-2024 02:12 177296 ocaml-sexplib0-dev-0.16.0-r0.apk 24-Mar-2024 02:12 352653 ocaml-sha-1.15.4-r0.apk 24-Mar-2024 02:12 75961 ocaml-sha-dev-1.15.4-r0.apk 24-Mar-2024 02:12 236210 ocaml-ssl-0.7.0-r0.apk 22-Apr-2024 06:21 99778 ocaml-ssl-dev-0.7.0-r0.apk 22-Apr-2024 06:21 215389 ocaml-stdlib-shims-0.3.0-r2.apk 24-Mar-2024 02:12 4270 ocaml-stk-0.1.0-r0.apk 22-Apr-2024 06:21 6418212 ocaml-stk-dev-0.1.0-r0.apk 22-Apr-2024 06:21 10557340 ocaml-stringext-1.6.0-r2.apk 24-Mar-2024 02:12 45420 ocaml-stringext-dev-1.6.0-r2.apk 24-Mar-2024 02:12 81238 ocaml-tcpip-7.1.2-r3.apk 24-Mar-2024 02:12 1307576 ocaml-tcpip-dev-7.1.2-r3.apk 24-Mar-2024 02:12 2599418 ocaml-tls-0.15.3-r4.apk 24-Mar-2024 02:12 1318507 ocaml-tls-dev-0.15.3-r4.apk 24-Mar-2024 02:12 2527611 ocaml-tophide-1.0.4-r2.apk 24-Mar-2024 02:12 5522 ocaml-topkg-1.0.5-r2.apk 24-Mar-2024 02:12 636086 ocaml-topkg-dev-1.0.5-r2.apk 24-Mar-2024 02:12 452262 ocaml-trie-1.0.0-r2.apk 24-Mar-2024 02:12 16763 ocaml-trie-dev-1.0.0-r2.apk 24-Mar-2024 02:12 26169 ocaml-tsdl-1.0.0-r0.apk 22-Apr-2024 06:21 934518 ocaml-tsdl-dev-1.0.0-r0.apk 22-Apr-2024 06:21 625994 ocaml-tsdl-image-0.6-r0.apk 22-Apr-2024 06:21 50081 ocaml-tsdl-image-dev-0.6-r0.apk 22-Apr-2024 06:21 81974 ocaml-tsdl-ttf-0.6-r0.apk 22-Apr-2024 06:21 64851 ocaml-tsdl-ttf-dev-0.6-r0.apk 22-Apr-2024 06:21 113696 ocaml-uri-4.2.0-r2.apk 24-Mar-2024 02:12 1457588 ocaml-uri-dev-4.2.0-r2.apk 24-Mar-2024 02:12 4520540 ocaml-utop-2.9.1-r4.apk 04-Apr-2024 10:21 357347 ocaml-utop-dev-2.9.1-r4.apk 04-Apr-2024 10:21 780823 ocaml-uucd-14.0.0-r2.apk 24-Mar-2024 02:12 282306 ocaml-uucd-dev-14.0.0-r2.apk 24-Mar-2024 02:12 186339 ocaml-uucp-14.0.0-r2.apk 22-Apr-2024 06:21 5455216 ocaml-uucp-dev-14.0.0-r2.apk 22-Apr-2024 06:21 1362836 ocaml-uuidm-0.9.8-r2.apk 24-Mar-2024 02:12 48084 ocaml-uuidm-dev-0.9.8-r2.apk 24-Mar-2024 02:12 26525 ocaml-uuidm-tools-0.9.8-r2.apk 24-Mar-2024 02:12 483263 ocaml-uunf-14.0.0-r2.apk 22-Apr-2024 06:21 1311282 ocaml-uunf-dev-14.0.0-r2.apk 22-Apr-2024 06:21 281344 ocaml-uuseg-14.0.0-r2.apk 22-Apr-2024 06:21 97252 ocaml-uuseg-dev-14.0.0-r2.apk 22-Apr-2024 06:21 54951 ocaml-uuseg-tools-14.0.0-r2.apk 22-Apr-2024 06:21 1419545 ocaml-uutf-1.0.3-r2.apk 24-Mar-2024 02:12 674614 ocaml-uutf-dev-1.0.3-r2.apk 24-Mar-2024 02:12 61005 ocaml-x509-0.16.0-r2.apk 24-Mar-2024 02:12 865166 ocaml-x509-dev-0.16.0-r2.apk 24-Mar-2024 02:12 1655912 ocaml-xml-light-2.5-r0.apk 24-Mar-2024 02:12 224950 ocaml-xml-light-dev-2.5-r0.apk 24-Mar-2024 02:12 405027 ocaml-xmlm-1.4.0-r2.apk 24-Mar-2024 02:12 602980 ocaml-xmlm-dev-1.4.0-r2.apk 24-Mar-2024 02:12 108211 ocaml-xtmpl-0.19.0-r0.apk 22-Apr-2024 06:21 10000607 ocaml-xtmpl-dev-0.19.0-r0.apk 22-Apr-2024 06:21 812934 ocaml-yojson-2.1.2-r0.apk 24-Mar-2024 02:12 1088708 ocaml-yojson-dev-2.1.2-r0.apk 24-Mar-2024 02:12 1792516 ocaml-zed-3.1.0-r3.apk 24-Mar-2024 02:12 538777 ocaml-zed-dev-3.1.0-r3.apk 24-Mar-2024 02:12 1210046 ocaml5-5.1.1-r0.apk 07-Dec-2023 16:13 19445923 ocaml5-compiler-libs-5.1.1-r0.apk 07-Dec-2023 16:13 10321980 ocaml5-doc-5.1.1-r0.apk 07-Dec-2023 16:13 885136 ocaml5-ocamldoc-5.1.1-r0.apk 07-Dec-2023 16:13 1787694 ocaml5-runtime-5.1.1-r0.apk 07-Dec-2023 16:13 2190434 ocamlnet-4.1.9-r2.apk 24-Mar-2024 02:12 17560612 ocamlnet-dev-4.1.9-r2.apk 24-Mar-2024 02:12 6862250 ocamlnet-tcl-4.1.9-r2.apk 24-Mar-2024 02:12 57156 ocfs2-tools-1.8.7-r2.apk 15-May-2023 20:51 1249018 ocfs2-tools-dev-1.8.7-r2.apk 15-May-2023 20:51 46765 ocfs2-tools-doc-1.8.7-r2.apk 15-May-2023 20:51 71232 ocp-indent-1.8.2-r2.apk 24-Mar-2024 02:12 1232372 ocp-indent-doc-1.8.2-r2.apk 24-Mar-2024 02:12 17118 ocp-indent-emacs-1.8.2-r2.apk 24-Mar-2024 02:12 3552 ocp-indent-vim-1.8.2-r2.apk 24-Mar-2024 02:12 2206 ocp-index-1.3.6-r0.apk 24-Mar-2024 02:12 6082147 ocp-index-doc-1.3.6-r0.apk 24-Mar-2024 02:12 31131 ocp-index-emacs-1.3.6-r0.apk 24-Mar-2024 02:12 6177 ocp-index-vim-1.3.6-r0.apk 24-Mar-2024 02:12 2863 octoprint-1.10.0-r0.apk 25-Apr-2024 01:28 3198835 octoprint-creality2xfix-0.0.4-r1.apk 16-Apr-2024 00:40 4604 octoprint-creality2xfix-pyc-0.0.4-r1.apk 16-Apr-2024 00:40 3211 octoprint-filecheck-2024.3.27-r0.apk 16-Apr-2024 00:40 28391 octoprint-filecheck-pyc-2024.3.27-r0.apk 16-Apr-2024 00:40 11416 octoprint-firmwarecheck-2021.10.11-r1.apk 16-Apr-2024 00:40 29822 octoprint-firmwarecheck-pyc-2021.10.11-r1.apk 16-Apr-2024 00:40 17830 octoprint-openrc-1.10.0-r0.apk 25-Apr-2024 01:28 1559 octoprint-pisupport-2023.10.10-r0.apk 16-Apr-2024 00:40 31370 octoprint-pisupport-pyc-2023.10.10-r0.apk 16-Apr-2024 00:40 12930 octoprint-pyc-1.10.0-r0.apk 25-Apr-2024 01:28 1301654 oh-my-zsh-0_git20220104-r1.apk 10-Feb-2023 00:05 1044938 oh-my-zsh-doc-0_git20220104-r1.apk 10-Feb-2023 00:05 7088 oil-0.21.0-r0.apk 15-Mar-2024 23:09 1601259 oil-doc-0.21.0-r0.apk 15-Mar-2024 23:09 6897 ol-2.4-r0.apk 28-Mar-2023 07:53 1018235 ol-doc-2.4-r0.apk 28-Mar-2023 07:53 2368 olab-0.1.8-r0.apk 22-Apr-2024 06:21 3805602 olsrd-0.9.8-r2.apk 02-Nov-2022 08:48 176554 olsrd-doc-0.9.8-r2.apk 02-Nov-2022 08:48 25432 olsrd-openrc-0.9.8-r2.apk 02-Nov-2022 08:48 1687 olsrd-plugins-0.9.8-r2.apk 02-Nov-2022 08:48 207470 onnxruntime-1.17.1-r1.apk 15-Apr-2024 21:51 9572328 onnxruntime-dev-1.17.1-r1.apk 15-Apr-2024 21:51 87291 opcr-policy-0.2.13-r0.apk 04-May-2024 11:09 8144512 opendht-3.1.7-r2.apk 15-Apr-2024 21:51 195037 opendht-dev-3.1.7-r2.apk 15-Apr-2024 21:51 73610 opendht-doc-3.1.7-r2.apk 15-Apr-2024 21:51 2882 opendht-libs-3.1.7-r2.apk 15-Apr-2024 21:51 618962 openfortivpn-1.21.0-r0.apk 08-Feb-2024 19:38 42781 openfortivpn-doc-1.21.0-r0.apk 08-Feb-2024 19:38 6234 openfpgaloader-0.11.0-r0.apk 21-Oct-2023 08:35 2045641 openjdk22-22.0.1_p8-r2.apk 26-Apr-2024 00:20 1240 openjdk22-demos-22.0.1_p8-r2.apk 26-Apr-2024 00:20 5466041 openjdk22-doc-22.0.1_p8-r2.apk 26-Apr-2024 00:20 192556 openjdk22-jdk-22.0.1_p8-r2.apk 26-Apr-2024 00:20 6965356 openjdk22-jmods-22.0.1_p8-r2.apk 26-Apr-2024 00:20 79473983 openjdk22-jre-22.0.1_p8-r2.apk 26-Apr-2024 00:20 1171498 openjdk22-jre-headless-22.0.1_p8-r2.apk 26-Apr-2024 00:20 64644869 openjdk22-src-22.0.1_p8-r2.apk 26-Apr-2024 00:20 50053000 openjdk22-static-libs-22.0.1_p8-r2.apk 26-Apr-2024 00:20 21735856 openmg-0.0.9-r0.apk 29-Apr-2023 21:47 108530 openocd-esp32-0_git20230921-r4.apk 05-Dec-2023 20:06 1801741 openocd-esp32-dev-0_git20230921-r4.apk 05-Dec-2023 20:06 3233 openocd-esp32-doc-0_git20230921-r4.apk 05-Dec-2023 20:06 3117 openocd-esp32-udev-rules-0_git20230921-r4.apk 05-Dec-2023 20:06 3179 openocd-git-0_git20240113-r0.apk 17-Jan-2024 08:39 1698048 openocd-git-cmd-openocd-0_git20240113-r0.apk 17-Jan-2024 08:39 1296 openocd-git-dbg-0_git20240113-r0.apk 17-Jan-2024 08:39 4174963 openocd-git-dev-0_git20240113-r0.apk 17-Jan-2024 08:39 3254 openocd-git-doc-0_git20240113-r0.apk 17-Jan-2024 08:39 3131 openocd-git-udev-rules-0_git20240113-r0.apk 17-Jan-2024 08:39 3177 openocd-riscv-0_git20230104-r1.apk 04-May-2023 07:47 1585212 openocd-riscv-dev-0_git20230104-r1.apk 04-May-2023 07:47 3576 openocd-riscv-doc-0_git20230104-r1.apk 04-May-2023 07:47 3135 openocd-riscv-udev-rules-0_git20230104-r1.apk 04-May-2023 07:47 3095 openscap-daemon-0.1.10-r9.apk 15-Apr-2024 21:51 61341 openscap-daemon-doc-0.1.10-r9.apk 15-Apr-2024 21:51 17699 openscap-daemon-pyc-0.1.10-r9.apk 15-Apr-2024 21:51 104057 openslide-3.4.1-r3.apk 01-Aug-2023 12:38 86496 openslide-dev-3.4.1-r3.apk 01-Aug-2023 12:38 6777 openslide-doc-3.4.1-r3.apk 01-Aug-2023 12:38 4798 openslide-tools-3.4.1-r3.apk 01-Aug-2023 12:38 14383 opensm-3.3.24-r2.apk 15-May-2023 20:51 481089 opensm-dev-3.3.24-r2.apk 15-May-2023 20:51 223773 opensm-doc-3.3.24-r2.apk 15-May-2023 20:51 38510 opensm-openrc-3.3.24-r2.apk 15-May-2023 20:51 2629 opensmtpd-filter-dkimsign-0.6-r1.apk 04-Aug-2022 12:09 16572 opensmtpd-filter-dkimsign-doc-0.6-r1.apk 04-Aug-2022 12:09 3655 openssl1.1-compat-1.1.1w-r0.apk 12-Sep-2023 11:29 243245 openssl1.1-compat-dbg-1.1.1w-r0.apk 12-Sep-2023 11:29 3607510 openssl1.1-compat-dev-1.1.1w-r0.apk 12-Sep-2023 11:29 267441 openssl1.1-compat-libs-static-1.1.1w-r0.apk 12-Sep-2023 11:29 6564129 openswitcher-0.5.0-r3.apk 15-Apr-2024 21:51 151726 openswitcher-proxy-0.5.0-r3.apk 15-Apr-2024 21:51 9365 openswitcher-proxy-openrc-0.5.0-r3.apk 15-Apr-2024 21:51 1842 opentelemetry-cpp-1.11.0-r2.apk 15-Nov-2023 14:38 605924 opentelemetry-cpp-dev-1.11.0-r2.apk 15-Nov-2023 14:38 342691 opentelemetry-cpp-exporter-otlp-common-1.11.0-r..> 15-Nov-2023 14:38 36739 opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r2.apk 15-Nov-2023 14:38 44691 opentelemetry-cpp-exporter-otlp-http-1.11.0-r2.apk 15-Nov-2023 14:38 75804 opentelemetry-cpp-exporter-zipkin-1.11.0-r2.apk 15-Nov-2023 14:38 52874 openttd-13.4-r1.apk 09-Nov-2023 08:43 5326578 openttd-doc-13.4-r1.apk 09-Nov-2023 08:43 226751 openttd-lang-13.4-r1.apk 09-Nov-2023 08:43 3476350 openttd-opengfx-7.1-r0.apk 25-Sep-2021 20:16 3511570 openttd-openmsx-0.4.2-r0.apk 17-Oct-2021 13:40 132204 openttd-opensfx-1.0.3-r0.apk 03-Nov-2021 11:58 11520017 openvpn3-3.8.4-r0.apk 17-Feb-2024 18:30 413487 openvpn3-dev-3.8.4-r0.apk 17-Feb-2024 18:30 702004 openwsman-2.7.2-r4.apk 15-Apr-2024 21:51 52792 openwsman-dev-2.7.2-r4.apk 15-Apr-2024 21:51 58785 openwsman-doc-2.7.2-r4.apk 15-Apr-2024 21:51 2203 openwsman-libs-2.7.2-r4.apk 15-Apr-2024 21:51 340790 opkg-0.6.2-r0.apk 27-Nov-2023 21:03 10175 opkg-dev-0.6.2-r0.apk 27-Nov-2023 21:03 124709 opkg-doc-0.6.2-r0.apk 27-Nov-2023 21:03 7557 opkg-libs-0.6.2-r0.apk 27-Nov-2023 21:03 84355 opkg-utils-0.4.5-r1.apk 02-Nov-2022 08:48 25059 opkg-utils-doc-0.4.5-r1.apk 02-Nov-2022 08:48 4421 opmsg-1.84-r1.apk 04-Aug-2022 06:44 301023 orage-4.18.0-r0.apk 23-Feb-2023 22:59 588150 orage-lang-4.18.0-r0.apk 23-Feb-2023 22:59 1210317 oras-cli-1.1.0-r2.apk 07-Apr-2024 00:50 3465034 oras-cli-bash-completion-1.1.0-r2.apk 07-Apr-2024 00:50 4918 oras-cli-fish-completion-1.1.0-r2.apk 07-Apr-2024 00:50 4161 oras-cli-zsh-completion-1.1.0-r2.apk 07-Apr-2024 00:50 3883 osmctools-0.9-r0.apk 31-Mar-2023 21:22 127757 otf-atkinson-hyperlegible-2020.0514-r0.apk 22-Nov-2020 21:12 103839 otf-atkinson-hyperlegible-doc-2020.0514-r0.apk 22-Nov-2020 21:12 48598 otpclient-3.2.1-r1.apk 15-Nov-2023 14:38 116269 otpclient-doc-3.2.1-r1.apk 15-Nov-2023 14:38 3352 otrs-6.0.48-r1.apk 15-Jan-2024 09:42 30072370 otrs-apache2-6.0.48-r1.apk 15-Jan-2024 09:42 4019 otrs-bash-completion-6.0.48-r1.apk 15-Jan-2024 09:42 2203 otrs-dev-6.0.48-r1.apk 15-Jan-2024 09:42 4058153 otrs-doc-6.0.48-r1.apk 15-Jan-2024 09:42 813979 otrs-fastcgi-6.0.48-r1.apk 15-Jan-2024 09:42 1565 otrs-nginx-6.0.48-r1.apk 15-Jan-2024 09:42 1589 otrs-openrc-6.0.48-r1.apk 15-Jan-2024 09:42 1692 otrs-setup-6.0.48-r1.apk 15-Jan-2024 09:42 109661 ouch-0.5.1-r0.apk 24-Dec-2023 18:42 1213330 ouch-bash-completion-0.5.1-r0.apk 24-Dec-2023 18:42 2081 ouch-doc-0.5.1-r0.apk 24-Dec-2023 18:42 3486 ouch-fish-completion-0.5.1-r0.apk 24-Dec-2023 18:42 2157 ouch-zsh-completion-0.5.1-r0.apk 24-Dec-2023 18:42 2666 ovn-24.03.1-r0.apk 12-Apr-2024 06:16 7773448 ovn-dbg-24.03.1-r0.apk 12-Apr-2024 06:16 25942582 ovn-dev-24.03.1-r0.apk 12-Apr-2024 06:16 10679337 ovn-doc-24.03.1-r0.apk 12-Apr-2024 06:16 523948 ovn-openrc-24.03.1-r0.apk 12-Apr-2024 06:16 3985 ovos-audio-0.0.2_alpha13-r0.apk 29-Mar-2024 11:24 16462 ovos-audio-pyc-0.0.2_alpha13-r0.apk 29-Mar-2024 11:24 22303 ovos-core-0.0.8_alpha24-r1.apk 15-Apr-2024 21:51 394619 ovos-core-pyc-0.0.8_alpha24-r1.apk 15-Apr-2024 21:51 213143 ovos-gui-0.0.3_alpha5-r1.apk 15-Apr-2024 21:51 41122 ovos-gui-pyc-0.0.3_alpha5-r1.apk 15-Apr-2024 21:51 32277 ovos-messagebus-0.0.3-r1.apk 15-Apr-2024 21:51 9562 ovos-messagebus-pyc-0.0.3-r1.apk 15-Apr-2024 21:51 5944 ovos-phal-0.0.5_alpha6-r1.apk 15-Apr-2024 21:51 10340 ovos-phal-pyc-0.0.5_alpha6-r1.apk 15-Apr-2024 21:51 6831 ovos-skill-hello-world-0.0.4_alpha3-r1.apk 15-Apr-2024 21:51 46468 ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk 15-Apr-2024 21:51 3799 ovos-skill-manager-0.0.13-r1.apk 15-Apr-2024 21:51 372438 ovos-skill-manager-pyc-0.0.13-r1.apk 15-Apr-2024 21:51 92453 ovpncc-0.1_rc1-r0.apk 13-Mar-2023 12:19 11964 ovpncc-doc-0.1_rc1-r0.apk 13-Mar-2023 12:19 6405 p0f-3.09b-r2.apk 02-Nov-2022 08:48 80856 p0f-doc-3.09b-r2.apk 02-Nov-2022 08:48 25641 p910nd-0.97-r2.apk 04-Jun-2022 12:38 9051 p910nd-doc-0.97-r2.apk 04-Jun-2022 12:38 3054 p910nd-openrc-0.97-r2.apk 04-Jun-2022 12:38 1882 pacparser-1.4.3-r1.apk 15-Apr-2024 21:51 746798 pacparser-dev-1.4.3-r1.apk 15-Apr-2024 21:51 3451 pacparser-doc-1.4.3-r1.apk 15-Apr-2024 21:51 17950 palp-2.20-r1.apk 01-Aug-2023 12:38 6140349 pam-krb5-4.11-r0.apk 16-May-2022 14:17 24728 pam-krb5-doc-4.11-r0.apk 16-May-2022 14:17 23945 pam_mount-2.20-r0.apk 23-Dec-2023 02:34 58403 pam_mount-dev-2.20-r0.apk 23-Dec-2023 02:34 3193 pam_mount-doc-2.20-r0.apk 23-Dec-2023 02:34 17246 pam_sqlite3-1.0.2-r1.apk 25-May-2023 06:21 9176 pamtester-0.1.2-r3.apk 14-Oct-2022 16:16 9559 pamtester-doc-0.1.2-r3.apk 14-Oct-2022 16:16 2979 pantalaimon-0.10.5-r4.apk 15-Apr-2024 15:00 45573 pantalaimon-doc-0.10.5-r4.apk 15-Apr-2024 15:00 6269 pantalaimon-pyc-0.10.5-r4.apk 15-Apr-2024 15:00 84641 pantalaimon-ui-0.10.5-r4.apk 15-Apr-2024 15:00 1496 paperde-0.2.1-r1.apk 23-Apr-2023 06:02 683056 paperde-dev-0.2.1-r1.apk 23-Apr-2023 06:02 5050 paperkey-1.6-r2.apk 19-Dec-2023 15:01 17165 paperkey-doc-1.6-r2.apk 19-Dec-2023 15:01 4351 paprefs-1.2-r1.apk 01-Aug-2023 04:56 31903 paprefs-lang-1.2-r1.apk 01-Aug-2023 04:56 39833 par-1.53.0-r1.apk 02-Nov-2022 08:48 14835 par-doc-1.53.0-r1.apk 02-Nov-2022 08:48 30789 parcellite-1.2.4.0-r0.apk 24-Feb-2024 13:44 232096 parcellite-doc-1.2.4.0-r0.apk 24-Feb-2024 13:44 25213 parcellite-lang-1.2.4.0-r0.apk 24-Feb-2024 13:44 49700 pari-2.15.4-r0.apk 11-Jul-2023 03:38 581924 pari-dev-2.15.4-r0.apk 11-Jul-2023 03:38 107170 pari-doc-2.15.4-r0.apk 11-Jul-2023 03:38 925659 pari-libs-2.15.4-r0.apk 11-Jul-2023 03:38 4915479 pash-2.3.0-r2.apk 02-Nov-2022 08:48 4118 pass2csv-1.1.1-r1.apk 15-Apr-2024 21:51 8302 pass2csv-pyc-1.1.1-r1.apk 15-Apr-2024 21:51 7384 pastebinc-0.9.1-r2.apk 02-Nov-2022 08:48 11733 pastel-0.9.0-r2.apk 01-Jul-2023 05:25 413932 pastel-bash-completion-0.9.0-r2.apk 01-Jul-2023 05:25 2827 pastel-fish-completion-0.9.0-r2.apk 01-Jul-2023 05:25 3051 pastel-zsh-completion-0.9.0-r2.apk 01-Jul-2023 05:25 4713 pathvector-6.3.2-r5.apk 07-Apr-2024 00:50 3648787 pcl-1.14.0-r1.apk 22-Apr-2024 18:59 3433730 pcl-dev-1.14.0-r1.apk 22-Apr-2024 18:59 1614678 pcl-libs-1.14.0-r1.apk 22-Apr-2024 18:59 20466521 pcsc-perl-1.4.16-r0.apk 03-Dec-2023 21:19 26599 pcsc-perl-doc-1.4.16-r0.apk 03-Dec-2023 21:19 9939 pcsc-tools-1.7.1-r0.apk 31-Dec-2023 20:26 186878 pcsc-tools-doc-1.7.1-r0.apk 31-Dec-2023 20:26 5922 pdal-python-plugins-1.2.1-r3.apk 15-Apr-2024 21:51 237499 pdf2svg-0.2.3-r1.apk 02-Nov-2022 08:48 4809 pdfcpu-0.7.0-r1.apk 07-Apr-2024 00:50 4136407 pdfcrack-0.20-r0.apk 17-Dec-2022 22:31 25695 pdm-2.12.4-r1.apk 15-Apr-2024 21:51 215082 pdm-pyc-2.12.4-r1.apk 15-Apr-2024 21:51 454642 pebble-le-0.3.0-r1.apk 22-Apr-2024 18:59 67810 pebble-le-dev-0.3.0-r1.apk 22-Apr-2024 18:59 44933 pebble-le-doc-0.3.0-r1.apk 22-Apr-2024 18:59 3553 peervpn-0.044-r5.apk 04-Aug-2022 06:44 40971 peervpn-openrc-0.044-r5.apk 04-Aug-2022 06:44 1823 peg-0.1.18-r1.apk 02-Nov-2022 08:48 42854 peg-doc-0.1.18-r1.apk 02-Nov-2022 08:48 13722 pegasus-frontend-13_alpha-r2.apk 05-May-2023 04:34 1299079 pegasus-frontend-doc-13_alpha-r2.apk 05-May-2023 04:34 16511 pegtl-3.2.7-r0.apk 21-Sep-2023 21:54 85354 pegtl-doc-3.2.7-r0.apk 21-Sep-2023 21:54 2036 percona-toolkit-3.5.4-r0.apk 13-Aug-2023 16:27 1861817 percona-toolkit-doc-3.5.4-r0.apk 13-Aug-2023 16:27 304355 perl-adapter-async-0.019-r0.apk 17-Jan-2024 12:12 8061 perl-adapter-async-doc-0.019-r0.apk 17-Jan-2024 12:12 16906 perl-algorithm-backoff-0.010-r0.apk 16-Apr-2024 11:29 9549 perl-algorithm-backoff-doc-0.010-r0.apk 16-Apr-2024 11:29 29915 perl-algorithm-c3-0.11-r1.apk 04-Jul-2023 00:01 5528 perl-algorithm-c3-doc-0.11-r1.apk 04-Jul-2023 00:01 4956 perl-algorithm-cron-0.10-r4.apk 04-Jul-2023 00:01 5986 perl-algorithm-cron-doc-0.10-r4.apk 04-Jul-2023 00:01 4439 perl-aliased-0.34-r4.apk 04-Jul-2023 00:01 5528 perl-aliased-doc-0.34-r4.apk 04-Jul-2023 00:01 5539 perl-anyevent-dns-etchosts-0.0105-r0.apk 02-Nov-2023 11:29 4964 perl-anyevent-dns-etchosts-doc-0.0105-r0.apk 02-Nov-2023 11:29 3813 perl-anyevent-future-0.05-r0.apk 15-Nov-2023 15:19 5019 perl-anyevent-future-doc-0.05-r0.apk 15-Nov-2023 15:19 5289 perl-anyevent-mocktcpserver-1.172150-r0.apk 16-Apr-2024 17:38 4771 perl-anyevent-mocktcpserver-doc-1.172150-r0.apk 16-Apr-2024 17:38 4417 perl-anyevent-mqtt-1.212810-r0.apk 16-Apr-2024 17:38 11069 perl-anyevent-mqtt-doc-1.212810-r0.apk 16-Apr-2024 17:38 11303 perl-anyevent-mqtt-monitor-1.212810-r0.apk 16-Apr-2024 17:38 4357 perl-anyevent-riperedis-0.48-r0.apk 15-Jan-2024 14:28 12419 perl-anyevent-riperedis-doc-0.48-r0.apk 15-Jan-2024 14:28 10284 perl-anyevent-xmpp-0.55-r0.apk 06-Jan-2024 22:16 91235 perl-anyevent-xmpp-doc-0.55-r0.apk 06-Jan-2024 22:16 120687 perl-archive-extract-0.88-r1.apk 04-Jul-2023 00:01 15861 perl-archive-extract-doc-0.88-r1.apk 04-Jul-2023 00:01 6726 perl-autobox-3.0.1-r8.apk 04-Jul-2023 00:01 20262 perl-autobox-doc-3.0.1-r8.apk 04-Jul-2023 00:01 8843 perl-barcode-zbar-0.10-r2.apk 04-Jul-2023 00:01 29587 perl-barcode-zbar-doc-0.10-r2.apk 04-Jul-2023 00:01 12618 perl-bind-config-parser-0.01-r5.apk 04-Jul-2023 00:01 3704 perl-bind-config-parser-doc-0.01-r5.apk 04-Jul-2023 00:01 3448 perl-bsd-resource-1.2911-r9.apk 17-Dec-2023 22:52 21916 perl-bsd-resource-doc-1.2911-r9.apk 17-Dec-2023 22:52 7800 perl-bytes-random-secure-0.29-r0.apk 15-Jan-2024 21:00 14396 perl-bytes-random-secure-doc-0.29-r0.apk 15-Jan-2024 21:00 12181 perl-cache-lru-0.04-r0.apk 15-Jan-2024 21:00 2771 perl-cache-lru-doc-0.04-r0.apk 15-Jan-2024 21:00 3035 perl-cairo-1.109-r3.apk 04-Jul-2023 00:01 83524 perl-cairo-doc-1.109-r3.apk 04-Jul-2023 00:01 13998 perl-cairo-gobject-1.005-r3.apk 04-Jul-2023 00:01 6878 perl-cairo-gobject-doc-1.005-r3.apk 04-Jul-2023 00:01 2774 perl-cgi-expand-2.05-r4.apk 04-Jul-2023 00:01 6771 perl-cgi-expand-doc-2.05-r4.apk 04-Jul-2023 00:01 6044 perl-check-unitcheck-0.13-r0.apk 17-Jan-2024 12:12 6381 perl-check-unitcheck-doc-0.13-r0.apk 17-Jan-2024 12:12 3395 perl-class-accessor-grouped-0.10014-r2.apk 04-Jul-2023 00:01 12043 perl-class-accessor-grouped-doc-0.10014-r2.apk 04-Jul-2023 00:01 7391 perl-class-c3-0.35-r1.apk 04-Jul-2023 00:01 9417 perl-class-c3-componentised-1.001002-r2.apk 04-Jul-2023 00:01 5392 perl-class-c3-componentised-doc-1.001002-r2.apk 04-Jul-2023 00:01 5200 perl-class-c3-doc-0.35-r1.apk 04-Jul-2023 00:01 9238 perl-class-inner-0.200001-r5.apk 17-Dec-2023 22:52 3780 perl-class-inner-doc-0.200001-r5.apk 17-Dec-2023 22:52 3945 perl-clone-choose-0.010-r4.apk 04-Jul-2023 00:01 4422 perl-clone-choose-doc-0.010-r4.apk 04-Jul-2023 00:01 4077 perl-clone-pp-1.08-r1.apk 04-Jul-2023 00:01 4420 perl-clone-pp-doc-1.08-r1.apk 04-Jul-2023 00:01 4008 perl-color-ansi-util-0.165-r0.apk 16-Apr-2024 11:29 7193 perl-color-ansi-util-doc-0.165-r0.apk 16-Apr-2024 11:29 5019 perl-color-rgb-util-0.607-r0.apk 16-Apr-2024 11:29 9320 perl-color-rgb-util-doc-0.607-r0.apk 16-Apr-2024 11:29 7303 perl-conf-libconfig-1.0.0-r1.apk 04-Jul-2023 00:01 24566 perl-conf-libconfig-doc-1.0.0-r1.apk 04-Jul-2023 00:01 5330 perl-constant-defer-6-r5.apk 04-Jul-2023 00:01 7315 perl-constant-defer-doc-6-r5.apk 04-Jul-2023 00:01 6851 perl-constant-generate-0.17-r5.apk 04-Jul-2023 00:01 8699 perl-constant-generate-doc-0.17-r5.apk 04-Jul-2023 00:01 6949 perl-context-preserve-0.03-r4.apk 04-Jul-2023 00:01 3694 perl-context-preserve-doc-0.03-r4.apk 04-Jul-2023 00:01 4051 perl-cpan-changes-0.500003-r0.apk 14-Mar-2024 08:38 13735 perl-cpan-changes-doc-0.500003-r0.apk 14-Mar-2024 08:38 18446 perl-crypt-random-seed-0.03-r0.apk 15-Jan-2024 21:00 11242 perl-crypt-random-seed-doc-0.03-r0.apk 15-Jan-2024 21:00 8755 perl-crypt-saltedhash-0.09-r5.apk 04-Jul-2023 00:01 6851 perl-crypt-saltedhash-doc-0.09-r5.apk 04-Jul-2023 00:01 6250 perl-css-object-0.1.6-r0.apk 24-Feb-2024 12:50 23150 perl-css-object-doc-0.1.6-r0.apk 24-Feb-2024 12:50 33097 perl-curry-2.000001-r0.apk 15-Jan-2024 21:00 2707 perl-curry-doc-2.000001-r0.apk 15-Jan-2024 21:00 3234 perl-daemon-control-0.001010-r2.apk 04-Jul-2023 00:01 12413 perl-daemon-control-doc-0.001010-r2.apk 04-Jul-2023 00:01 8188 perl-dancer-plugin-auth-extensible-1.00-r5.apk 04-Jul-2023 00:01 15407 perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk 04-Jul-2023 00:01 14905 perl-dancer-plugin-dbic-0.2104-r5.apk 04-Jul-2023 00:01 4777 perl-dancer-plugin-dbic-doc-0.2104-r5.apk 04-Jul-2023 00:01 5218 perl-dancer-plugin-passphrase-2.0.1-r4.apk 04-Jul-2023 00:01 9667 perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk 04-Jul-2023 00:01 8395 perl-dancer-session-cookie-0.30-r2.apk 04-Jul-2023 00:01 5321 perl-dancer-session-cookie-doc-0.30-r2.apk 04-Jul-2023 00:01 4011 perl-data-dumper-concise-2.023-r4.apk 04-Jul-2023 00:01 5682 perl-data-dumper-concise-doc-2.023-r4.apk 04-Jul-2023 00:01 8348 perl-data-validate-domain-0.15-r0.apk 14-Jan-2024 12:53 5718 perl-data-validate-domain-doc-0.15-r0.apk 14-Jan-2024 12:53 5338 perl-data-validate-ip-0.31-r1.apk 04-Jul-2023 00:01 8770 perl-data-validate-ip-doc-0.31-r1.apk 04-Jul-2023 00:01 5733 perl-database-async-0.019-r0.apk 17-Jan-2024 12:12 23095 perl-database-async-doc-0.019-r0.apk 17-Jan-2024 12:12 29500 perl-database-async-engine-postgresql-1.004-r0.apk 17-Jan-2024 12:12 13944 perl-database-async-engine-postgresql-doc-1.004..> 17-Jan-2024 12:12 9289 perl-datetime-format-atom-1.6.0-r0.apk 22-Jan-2024 11:52 3024 perl-datetime-format-atom-doc-1.6.0-r0.apk 22-Jan-2024 11:52 3638 perl-datetime-format-flexible-0.34-r0.apk 14-Jan-2024 15:56 18397 perl-datetime-format-flexible-doc-0.34-r0.apk 14-Jan-2024 15:56 12293 perl-datetime-format-rfc3339-1.8.0-r0.apk 22-Jan-2024 11:52 4289 perl-datetime-format-rfc3339-doc-1.8.0-r0.apk 22-Jan-2024 11:52 4024 perl-datetime-timezone-alias-0.06-r0.apk 24-Feb-2024 12:50 2331 perl-datetime-timezone-alias-doc-0.06-r0.apk 24-Feb-2024 12:50 7545 perl-datetime-timezone-catalog-extend-0.3.1-r0.apk 24-Feb-2024 12:50 11826 perl-datetime-timezone-catalog-extend-doc-0.3.1..> 24-Feb-2024 12:50 15153 perl-dbicx-sugar-0.0200-r5.apk 04-Jul-2023 00:01 5766 perl-dbicx-sugar-doc-0.0200-r5.apk 04-Jul-2023 00:01 5196 perl-dbix-class-0.082843-r1.apk 04-Jul-2023 00:01 440256 perl-dbix-class-candy-0.005003-r5.apk 04-Jul-2023 00:01 8309 perl-dbix-class-candy-doc-0.005003-r5.apk 04-Jul-2023 00:01 9541 perl-dbix-class-doc-0.082843-r1.apk 04-Jul-2023 00:01 353415 perl-dbix-class-helpers-2.036000-r3.apk 04-Jul-2023 00:01 53841 perl-dbix-class-helpers-doc-2.036000-r3.apk 04-Jul-2023 00:01 122019 perl-dbix-datasource-0.02-r5.apk 04-Jul-2023 00:01 4137 perl-dbix-datasource-doc-0.02-r5.apk 04-Jul-2023 00:01 7451 perl-dbix-introspector-0.001005-r4.apk 04-Jul-2023 00:01 7976 perl-dbix-introspector-doc-0.001005-r4.apk 04-Jul-2023 00:01 8397 perl-devel-confess-0.009004-r0.apk 24-Feb-2024 12:50 11433 perl-devel-confess-doc-0.009004-r0.apk 24-Feb-2024 12:50 6546 perl-devel-leak-0.03-r12.apk 04-Jul-2023 00:01 7351 perl-devel-leak-doc-0.03-r12.apk 04-Jul-2023 00:01 3120 perl-devel-refcount-0.10-r0.apk 17-Jan-2024 12:17 6416 perl-devel-refcount-doc-0.10-r0.apk 17-Jan-2024 12:17 4104 perl-digest-bcrypt-1.212-r1.apk 04-Jul-2023 00:01 5447 perl-digest-bcrypt-doc-1.212-r1.apk 04-Jul-2023 00:01 5037 perl-digest-crc-0.24-r0.apk 15-Jan-2024 21:00 10152 perl-digest-crc-doc-0.24-r0.apk 15-Jan-2024 21:00 3014 perl-dns-unbound-0.29-r0.apk 16-Apr-2024 17:38 24405 perl-dns-unbound-anyevent-0.29-r0.apk 16-Apr-2024 17:38 2151 perl-dns-unbound-asyncquery-promisexs-0.29-r0.apk 16-Apr-2024 17:38 1731 perl-dns-unbound-doc-0.29-r0.apk 16-Apr-2024 17:38 15387 perl-dns-unbound-ioasync-0.29-r0.apk 16-Apr-2024 17:38 2232 perl-dns-unbound-mojo-0.29-r0.apk 16-Apr-2024 17:38 2502 perl-email-abstract-3.010-r0.apk 03-Sep-2023 11:03 7568 perl-email-abstract-doc-3.010-r0.apk 03-Sep-2023 11:03 12939 perl-email-mime-attachment-stripper-1.317-r5.apk 04-Jul-2023 00:01 3797 perl-email-mime-attachment-stripper-doc-1.317-r..> 04-Jul-2023 00:01 3643 perl-email-reply-1.204-r5.apk 04-Jul-2023 00:01 6023 perl-email-reply-doc-1.204-r5.apk 04-Jul-2023 00:01 4654 perl-ev-hiredis-0.07-r0.apk 17-Jan-2024 12:17 13364 perl-ev-hiredis-doc-0.07-r0.apk 17-Jan-2024 12:17 4034 perl-extutils-makemaker-7.70-r2.apk 21-Feb-2024 07:50 178667 perl-extutils-xsbuilder-0.28-r5.apk 04-Jul-2023 00:01 43829 perl-extutils-xsbuilder-doc-0.28-r5.apk 04-Jul-2023 00:01 21377 perl-feed-find-0.13-r0.apk 14-Jan-2024 15:56 3679 perl-feed-find-doc-0.13-r0.apk 14-Jan-2024 15:56 3567 perl-ffi-c-0.15-r0.apk 03-Feb-2024 09:53 20084 perl-ffi-c-doc-0.15-r0.apk 03-Feb-2024 09:53 29019 perl-ffi-platypus-2.08-r0.apk 03-Feb-2024 09:53 185559 perl-ffi-platypus-doc-2.08-r0.apk 03-Feb-2024 09:53 150079 perl-ffi-platypus-type-enum-0.06-r0.apk 03-Feb-2024 09:53 5065 perl-ffi-platypus-type-enum-doc-0.06-r0.apk 03-Feb-2024 09:53 5019 perl-file-mmagic-xs-0.09008-r3.apk 04-Jul-2023 00:01 32391 perl-file-mmagic-xs-doc-0.09008-r3.apk 04-Jul-2023 00:01 4052 perl-file-rename-2.02-r0.apk 01-Jan-2024 12:23 7454 perl-file-rename-doc-2.02-r0.apk 01-Jan-2024 12:23 12108 perl-flowd-0.9.1-r9.apk 04-Jul-2023 00:01 21302 perl-flowd-doc-0.9.1-r9.apk 04-Jul-2023 00:01 3028 perl-freezethaw-0.5001-r2.apk 04-Jul-2023 00:01 9814 perl-freezethaw-doc-0.5001-r2.apk 04-Jul-2023 00:01 5532 perl-future-http-0.17-r0.apk 13-Apr-2024 17:05 9194 perl-future-http-doc-0.17-r0.apk 13-Apr-2024 17:05 15789 perl-future-q-0.120-r0.apk 19-Jan-2024 00:19 9570 perl-future-q-doc-0.120-r0.apk 19-Jan-2024 00:19 9068 perl-future-queue-0.52-r0.apk 15-Jan-2024 21:00 3918 perl-future-queue-doc-0.52-r0.apk 15-Jan-2024 21:00 4110 perl-gearman-2.004.015-r3.apk 04-Jan-2024 01:13 27856 perl-gearman-doc-2.004.015-r3.apk 04-Jan-2024 01:13 19992 perl-getopt-long-descriptive-0.114-r0.apk 27-Jan-2024 21:42 15166 perl-getopt-long-descriptive-doc-0.114-r0.apk 27-Jan-2024 21:42 11100 perl-getopt-tabular-0.3-r4.apk 04-Jul-2023 00:01 23534 perl-getopt-tabular-doc-0.3-r4.apk 04-Jul-2023 00:01 16882 perl-git-raw-0.90-r0.apk 05-Feb-2024 11:43 182123 perl-git-raw-doc-0.90-r0.apk 05-Feb-2024 11:43 121102 perl-git-repository-1.325-r0.apk 05-Feb-2024 16:10 16468 perl-git-repository-doc-1.325-r0.apk 05-Feb-2024 16:10 31993 perl-git-version-compare-1.005-r0.apk 05-Feb-2024 16:10 5269 perl-git-version-compare-doc-1.005-r0.apk 05-Feb-2024 16:10 4717 perl-glib-ex-objectbits-16-r5.apk 04-Jul-2023 00:01 15400 perl-glib-ex-objectbits-doc-16-r5.apk 04-Jul-2023 00:01 22669 perl-glib-object-introspection-0.051-r0.apk 29-Aug-2023 11:36 64974 perl-glib-object-introspection-doc-0.051-r0.apk 29-Aug-2023 11:36 11083 perl-graphql-client-0.605-r0.apk 18-Jan-2024 23:48 7054 perl-graphql-client-cli-0.605-r0.apk 18-Jan-2024 23:48 7743 perl-graphql-client-doc-0.605-r0.apk 18-Jan-2024 23:48 14049 perl-gtk2-1.24993-r5.apk 04-Jul-2023 00:01 916530 perl-gtk2-doc-1.24993-r5.apk 04-Jul-2023 00:01 681959 perl-gtk2-ex-listmodelconcat-11-r4.apk 04-Jul-2023 00:01 12795 perl-gtk2-ex-listmodelconcat-doc-11-r4.apk 04-Jul-2023 00:01 7204 perl-gtk2-ex-widgetbits-48-r3.apk 04-Jul-2023 00:01 67025 perl-gtk2-ex-widgetbits-doc-48-r3.apk 04-Jul-2023 00:01 82908 perl-gtk3-0.038-r1.apk 04-Jul-2023 00:01 19848 perl-gtk3-doc-0.038-r1.apk 04-Jul-2023 00:01 9112 perl-guard-1.023-r8.apk 04-Jul-2023 00:01 8982 perl-guard-doc-1.023-r8.apk 04-Jul-2023 00:01 5152 perl-hash-merge-0.302-r2.apk 04-Jul-2023 00:01 6759 perl-hash-merge-doc-0.302-r2.apk 04-Jul-2023 00:01 5513 perl-hash-ordered-0.014-r0.apk 19-Jan-2024 00:19 9762 perl-hash-ordered-doc-0.014-r0.apk 19-Jan-2024 00:19 19434 perl-html-object-0.5.0-r0.apk 05-May-2024 05:25 355880 perl-html-object-doc-0.5.0-r0.apk 05-May-2024 05:25 480828 perl-html-selector-xpath-0.28-r0.apk 24-Feb-2024 12:50 6098 perl-html-selector-xpath-doc-0.28-r0.apk 24-Feb-2024 12:50 3904 perl-html-tableextract-2.15-r4.apk 04-Jul-2023 00:01 17838 perl-html-tableextract-doc-2.15-r4.apk 04-Jul-2023 00:01 9891 perl-http-thin-0.006-r0.apk 13-Jan-2024 11:52 2892 perl-http-thin-doc-0.006-r0.apk 13-Jan-2024 11:52 3265 perl-http-xsheaders-0.400005-r0.apk 24-Feb-2024 12:50 23038 perl-http-xsheaders-doc-0.400005-r0.apk 24-Feb-2024 12:50 6216 perl-i18n-langinfo-wide-9-r4.apk 04-Jul-2023 00:01 4051 perl-i18n-langinfo-wide-doc-9-r4.apk 04-Jul-2023 00:01 3919 perl-indirect-0.39-r0.apk 15-Jan-2024 21:00 16921 perl-indirect-doc-0.39-r0.apk 15-Jan-2024 21:00 6253 perl-io-async-resolver-dns-0.06-r0.apk 30-Dec-2023 11:58 6939 perl-io-async-resolver-dns-doc-0.06-r0.apk 30-Dec-2023 11:58 4911 perl-io-lambda-1.33-r0.apk 16-Apr-2024 11:29 77046 perl-io-lambda-doc-1.33-r0.apk 16-Apr-2024 11:29 69294 perl-io-sessiondata-1.03-r3.apk 04-Jul-2023 00:01 5628 perl-json-maybeutf8-2.000-r0.apk 15-Jan-2024 21:00 2934 perl-json-maybeutf8-doc-2.000-r0.apk 15-Jan-2024 21:00 3379 perl-json-path-1.0.6-r0.apk 27-Apr-2024 08:34 16316 perl-json-path-doc-1.0.6-r0.apk 27-Apr-2024 08:34 12635 perl-json-validator-5.14-r0.apk 14-Jan-2024 12:53 60498 perl-json-validator-doc-5.14-r0.apk 14-Jan-2024 12:53 33761 perl-libapreq2-2.17-r1.apk 04-Jul-2023 00:01 117057 perl-libapreq2-dev-2.17-r1.apk 04-Jul-2023 00:01 60888 perl-libapreq2-doc-2.17-r1.apk 04-Jul-2023 00:01 37730 perl-libintl-perl-1.33-r1.apk 04-Jul-2023 00:01 323776 perl-libintl-perl-doc-1.33-r1.apk 04-Jul-2023 00:01 580071 perl-linux-pid-0.04-r12.apk 04-Jul-2023 00:01 4966 perl-linux-pid-doc-0.04-r12.apk 04-Jul-2023 00:01 2838 perl-list-binarysearch-0.25-r0.apk 17-Jan-2024 12:12 9929 perl-list-binarysearch-doc-0.25-r0.apk 17-Jan-2024 12:12 11556 perl-list-binarysearch-xs-0.09-r0.apk 15-Jan-2024 21:00 12747 perl-list-binarysearch-xs-doc-0.09-r0.apk 15-Jan-2024 21:00 8011 perl-log-fu-0.31-r4.apk 04-Jul-2023 00:01 10491 perl-log-fu-doc-0.31-r4.apk 04-Jul-2023 00:01 7168 perl-log-message-0.08-r3.apk 04-Jul-2023 00:01 10594 perl-log-message-doc-0.08-r3.apk 04-Jul-2023 00:01 12176 perl-log-message-simple-0.10-r3.apk 04-Jul-2023 00:01 4047 perl-log-message-simple-doc-0.10-r3.apk 04-Jul-2023 00:01 3778 perl-lv-0.006-r0.apk 18-Jan-2024 23:48 3909 perl-lv-backend-magic-0.006-r0.apk 18-Jan-2024 23:48 1894 perl-lv-backend-sentinel-0.006-r0.apk 18-Jan-2024 23:48 1771 perl-lv-doc-0.006-r0.apk 18-Jan-2024 23:48 3891 perl-lwp-online-1.08-r0.apk 12-Jan-2024 13:03 6030 perl-lwp-online-doc-1.08-r0.apk 12-Jan-2024 13:03 5563 perl-lwp-useragent-cached-0.08-r1.apk 04-Jul-2023 00:01 6274 perl-lwp-useragent-cached-doc-0.08-r1.apk 04-Jul-2023 00:01 5525 perl-mastodon-client-0.017-r0.apk 13-Jan-2024 11:52 22324 perl-mastodon-client-doc-0.017-r0.apk 13-Jan-2024 11:52 33505 perl-math-int64-0.57-r0.apk 24-Jan-2024 07:37 29240 perl-math-int64-doc-0.57-r0.apk 24-Jan-2024 07:37 10445 perl-math-libm-1.00-r13.apk 04-Jul-2023 00:01 11307 perl-math-libm-doc-1.00-r13.apk 04-Jul-2023 00:01 2982 perl-math-random-isaac-xs-1.004-r7.apk 04-Jul-2023 00:01 8416 perl-math-random-isaac-xs-doc-1.004-r7.apk 04-Jul-2023 00:01 3625 perl-mce-1.889-r0.apk 14-Sep-2023 10:34 168491 perl-mce-doc-1.889-r0.apk 14-Sep-2023 10:34 141546 perl-memory-process-0.06-r3.apk 17-Oct-2023 11:19 3249 perl-memory-process-doc-0.06-r3.apk 17-Oct-2023 11:19 3617 perl-memory-usage-0.201-r3.apk 17-Oct-2023 11:19 4577 perl-memory-usage-doc-0.201-r3.apk 17-Oct-2023 11:19 5392 perl-minion-10.29-r0.apk 20-Mar-2024 11:52 1576521 perl-minion-backend-pg-10.29-r0.apk 20-Mar-2024 11:52 9554 perl-minion-backend-redis-0.003-r0.apk 10-Feb-2024 01:02 10490 perl-minion-backend-redis-doc-0.003-r0.apk 10-Feb-2024 01:02 6471 perl-minion-backend-sqlite-5.0.7-r0.apk 10-Feb-2024 01:02 10098 perl-minion-backend-sqlite-doc-5.0.7-r0.apk 10-Feb-2024 01:02 6674 perl-minion-doc-10.29-r0.apk 20-Mar-2024 11:52 49854 perl-mixin-event-dispatch-2.000-r0.apk 03-Jan-2024 18:24 8823 perl-mixin-event-dispatch-doc-2.000-r0.apk 03-Jan-2024 18:24 12964 perl-module-build-prereqs-fromcpanfile-0.02-r0.apk 19-Jan-2024 00:19 3254 perl-module-build-prereqs-fromcpanfile-doc-0.02..> 19-Jan-2024 00:19 3598 perl-module-generic-0.37.1-r0.apk 05-May-2024 15:53 258182 perl-module-generic-doc-0.37.1-r0.apk 05-May-2024 15:53 206642 perl-mojo-pg-4.27-r0.apk 17-Jan-2024 12:13 16663 perl-mojo-pg-doc-4.27-r0.apk 17-Jan-2024 12:13 19769 perl-mojo-reactor-ioasync-1.002-r0.apk 12-Jan-2024 13:03 4581 perl-mojo-reactor-ioasync-doc-1.002-r0.apk 12-Jan-2024 13:03 4219 perl-mojo-redis-3.29-r0.apk 12-Jan-2024 13:03 25517 perl-mojo-redis-doc-3.29-r0.apk 12-Jan-2024 13:03 24932 perl-mojo-sqlite-3.009-r0.apk 12-Jan-2024 13:03 16071 perl-mojo-sqlite-doc-3.009-r0.apk 12-Jan-2024 13:03 19559 perl-mojolicious-plugin-openapi-5.09-r0.apk 14-Jan-2024 12:53 29008 perl-mojolicious-plugin-openapi-doc-5.09-r0.apk 14-Jan-2024 12:53 34320 perl-musicbrainz-discid-0.06-r0.apk 22-Aug-2023 17:28 9405 perl-musicbrainz-discid-doc-0.06-r0.apk 22-Aug-2023 17:28 4142 perl-net-address-ip-local-0.1.2-r0.apk 15-Jan-2024 21:00 3265 perl-net-address-ip-local-doc-0.1.2-r0.apk 15-Jan-2024 21:00 3312 perl-net-amqp-rabbitmq-2.40010-r2.apk 04-Jul-2023 00:01 82338 perl-net-amqp-rabbitmq-doc-2.40010-r2.apk 04-Jul-2023 00:01 9532 perl-net-async-redis-6.000-r0.apk 28-Jan-2024 12:26 55545 perl-net-async-redis-doc-6.000-r0.apk 28-Jan-2024 12:26 49081 perl-net-async-redis-xs-1.001-r0.apk 24-Jan-2024 07:57 10097 perl-net-async-redis-xs-doc-1.001-r0.apk 24-Jan-2024 07:57 5197 perl-net-async-xmpp-0.003-r0.apk 16-Jan-2024 19:22 6338 perl-net-async-xmpp-doc-0.003-r0.apk 16-Jan-2024 19:22 9914 perl-net-curl-0.56-r0.apk 03-Apr-2024 11:32 65342 perl-net-curl-doc-0.56-r0.apk 03-Apr-2024 11:32 39977 perl-net-curl-promiser-0.20-r0.apk 05-Feb-2024 16:12 8815 perl-net-curl-promiser-anyevent-0.20-r0.apk 05-Feb-2024 16:12 2524 perl-net-curl-promiser-doc-0.20-r0.apk 05-Feb-2024 16:12 11660 perl-net-curl-promiser-ioasync-0.20-r0.apk 05-Feb-2024 16:12 2781 perl-net-curl-promiser-mojo-0.20-r0.apk 05-Feb-2024 16:12 2920 perl-net-idn-encode-2.500-r0.apk 14-Jan-2024 12:53 86466 perl-net-idn-encode-doc-2.500-r0.apk 14-Jan-2024 12:53 21993 perl-net-irr-0.10-r0.apk 14-Mar-2024 08:38 5331 perl-net-irr-doc-0.10-r0.apk 14-Mar-2024 08:38 5021 perl-net-jabber-2.0-r0.apk 12-Jan-2024 13:03 51572 perl-net-jabber-bot-2.1.7-r0.apk 12-Jan-2024 13:03 13550 perl-net-jabber-bot-doc-2.1.7-r0.apk 12-Jan-2024 13:03 7500 perl-net-jabber-doc-2.0-r0.apk 12-Jan-2024 13:03 49246 perl-net-libresolv-0.03-r0.apk 30-Dec-2023 11:58 7682 perl-net-libresolv-doc-0.03-r0.apk 30-Dec-2023 11:58 3915 perl-net-mqtt-1.163170-r0.apk 16-Apr-2024 17:38 12869 perl-net-mqtt-doc-1.163170-r0.apk 16-Apr-2024 17:38 35466 perl-net-mqtt-simple-1.29-r0.apk 16-Apr-2024 17:38 12024 perl-net-mqtt-simple-doc-1.29-r0.apk 16-Apr-2024 17:38 11505 perl-net-mqtt-simple-ssl-1.29-r0.apk 16-Apr-2024 17:38 3225 perl-net-mqtt-trace-1.163170-r0.apk 16-Apr-2024 17:38 4172 perl-net-netmask-2.0002-r2.apk 08-Jan-2024 09:44 13896 perl-net-netmask-doc-2.0002-r2.apk 08-Jan-2024 09:44 8482 perl-net-patricia-1.22-r11.apk 04-Jul-2023 00:01 22566 perl-net-patricia-doc-1.22-r11.apk 04-Jul-2023 00:01 5951 perl-net-pcap-0.21-r0.apk 16-Apr-2024 17:38 41310 perl-net-pcap-doc-0.21-r0.apk 16-Apr-2024 17:38 15894 perl-net-xmpp-1.05-r0.apk 12-Jan-2024 13:03 58779 perl-net-xmpp-doc-1.05-r0.apk 12-Jan-2024 13:03 44422 perl-netaddr-mac-0.98-r1.apk 04-Jul-2023 00:01 10832 perl-netaddr-mac-doc-0.98-r1.apk 04-Jul-2023 00:01 7917 perl-nice-try-1.3.10-r0.apk 28-Mar-2024 20:31 25480 perl-nice-try-doc-1.3.10-r0.apk 28-Mar-2024 20:31 11129 perl-number-format-1.76-r1.apk 04-Jul-2023 00:01 15352 perl-number-format-doc-1.76-r1.apk 04-Jul-2023 00:01 8959 perl-number-misc-1.2-r5.apk 17-Oct-2023 11:19 5051 perl-number-misc-doc-1.2-r5.apk 17-Oct-2023 11:19 4233 perl-number-tolerant-1.710-r0.apk 10-Aug-2023 11:44 14925 perl-number-tolerant-doc-1.710-r0.apk 10-Aug-2023 11:44 25989 perl-object-event-1.23-r0.apk 03-Jan-2024 18:46 9440 perl-object-event-doc-1.23-r0.apk 03-Jan-2024 18:46 7025 perl-openapi-client-1.07-r0.apk 14-Jan-2024 12:53 8590 perl-openapi-client-doc-1.07-r0.apk 14-Jan-2024 12:53 7268 perl-opentracing-1.006-r0.apk 15-Jan-2024 21:00 18153 perl-opentracing-doc-1.006-r0.apk 15-Jan-2024 21:00 33245 perl-pango-1.227-r10.apk 04-Jul-2023 00:01 84303 perl-pango-doc-1.227-r10.apk 04-Jul-2023 00:01 83114 perl-path-iter-0.2-r3.apk 04-Jul-2023 00:01 5076 perl-path-iter-doc-0.2-r3.apk 04-Jul-2023 00:01 5014 perl-perlio-locale-0.10-r11.apk 04-Jul-2023 00:01 4711 perl-perlio-locale-doc-0.10-r11.apk 04-Jul-2023 00:01 2878 perl-plack-middleware-expires-0.06-r3.apk 04-Jul-2023 00:01 3703 perl-plack-middleware-expires-doc-0.06-r3.apk 04-Jul-2023 00:01 3162 perl-plack-middleware-reverseproxy-0.16-r2.apk 04-Jul-2023 00:01 2974 perl-plack-middleware-reverseproxy-doc-0.16-r2.apk 04-Jul-2023 00:01 2859 perl-pod-cpandoc-0.16-r6.apk 04-Jul-2023 00:01 4414 perl-pod-cpandoc-doc-0.16-r6.apk 04-Jul-2023 00:01 4748 perl-pod-tidy-0.10-r1.apk 04-Jul-2023 00:01 10366 perl-pod-tidy-doc-0.10-r1.apk 04-Jul-2023 00:01 10537 perl-ppi-xs-0.910-r0.apk 24-Feb-2024 12:50 6036 perl-ppi-xs-doc-0.910-r0.apk 24-Feb-2024 12:50 3208 perl-proc-guard-0.07-r4.apk 04-Jan-2024 01:13 3512 perl-proc-guard-doc-0.07-r4.apk 04-Jan-2024 01:13 3358 perl-promise-es6-0.28-r0.apk 19-Jan-2024 00:19 10710 perl-promise-es6-anyevent-0.28-r0.apk 19-Jan-2024 00:19 2304 perl-promise-es6-doc-0.28-r0.apk 19-Jan-2024 00:19 12101 perl-promise-es6-future-0.28-r0.apk 19-Jan-2024 00:19 2090 perl-promise-es6-io-async-0.28-r0.apk 19-Jan-2024 00:19 2770 perl-promise-es6-mojo-ioloop-0.28-r0.apk 19-Jan-2024 00:19 2380 perl-promise-me-0.4.11-r0.apk 27-Apr-2024 08:34 25829 perl-promise-me-doc-0.4.11-r0.apk 27-Apr-2024 08:34 12027 perl-promise-xs-0.20-r0.apk 19-Jan-2024 00:19 26702 perl-promise-xs-doc-0.20-r0.apk 19-Jan-2024 00:19 8640 perl-protocol-database-postgresql-2.001-r0.apk 27-Jan-2024 15:55 19384 perl-protocol-database-postgresql-doc-2.001-r0.apk 27-Jan-2024 15:55 38191 perl-protocol-redis-1.0011-r0.apk 12-Jan-2024 13:03 5445 perl-protocol-redis-doc-1.0011-r0.apk 12-Jan-2024 13:03 4930 perl-protocol-redis-faster-0.003-r0.apk 12-Jan-2024 13:03 3244 perl-protocol-redis-faster-doc-0.003-r0.apk 12-Jan-2024 13:03 3141 perl-protocol-xmpp-0.006-r0.apk 16-Jan-2024 19:22 16920 perl-protocol-xmpp-doc-0.006-r0.apk 16-Jan-2024 19:22 51723 perl-ref-util-xs-0.117-r7.apk 04-Jul-2023 00:01 10957 perl-ref-util-xs-doc-0.117-r7.apk 04-Jul-2023 00:01 3246 perl-regexp-grammars-1.058-r0.apk 25-Jan-2024 17:01 66903 perl-regexp-grammars-doc-1.058-r0.apk 25-Jan-2024 17:01 48695 perl-role-eventemitter-0.003-r0.apk 13-Jan-2024 11:52 3495 perl-role-eventemitter-doc-0.003-r0.apk 13-Jan-2024 11:52 3781 perl-rxperl-6.28.0-r0.apk 19-Jan-2024 00:19 26457 perl-rxperl-anyevent-6.8.1-r0.apk 19-Jan-2024 03:45 2533 perl-rxperl-anyevent-doc-6.8.1-r0.apk 19-Jan-2024 03:45 8912 perl-rxperl-doc-6.28.0-r0.apk 19-Jan-2024 00:19 22846 perl-rxperl-ioasync-6.9.1-r0.apk 19-Jan-2024 03:45 2634 perl-rxperl-ioasync-doc-6.9.1-r0.apk 19-Jan-2024 03:45 8952 perl-rxperl-mojo-6.8.1-r0.apk 19-Jan-2024 03:45 2561 perl-rxperl-mojo-doc-6.8.1-r0.apk 19-Jan-2024 03:45 8909 perl-ryu-3.005-r0.apk 15-Jan-2024 21:00 25377 perl-ryu-async-0.020-r0.apk 15-Jan-2024 21:00 7423 perl-ryu-async-doc-0.020-r0.apk 15-Jan-2024 21:00 11837 perl-ryu-doc-3.005-r0.apk 15-Jan-2024 21:00 35730 perl-scalar-readonly-0.03-r0.apk 09-Mar-2024 06:50 5573 perl-scalar-readonly-doc-0.03-r0.apk 09-Mar-2024 06:50 3211 perl-sentinel-0.07-r0.apk 18-Jan-2024 23:48 8484 perl-sentinel-doc-0.07-r0.apk 18-Jan-2024 23:48 4040 perl-session-storage-secure-1.000-r2.apk 04-Jul-2023 00:01 8777 perl-session-storage-secure-doc-1.000-r2.apk 04-Jul-2023 00:01 7316 perl-snmp-5.0404-r12.apk 04-Jul-2023 00:01 76488 perl-snmp-doc-5.0404-r12.apk 04-Jul-2023 00:01 14086 perl-snmp-info-3.970001-r0.apk 03-Apr-2024 01:00 343161 perl-snmp-info-doc-3.970001-r0.apk 03-Apr-2024 01:00 382967 perl-soap-lite-1.27-r5.apk 04-Jul-2023 00:01 112597 perl-soap-lite-doc-1.27-r5.apk 04-Jul-2023 00:01 92372 perl-sort-naturally-1.03-r4.apk 04-Jul-2023 00:01 8611 perl-sort-naturally-doc-1.03-r4.apk 04-Jul-2023 00:01 5327 perl-sort-versions-1.62-r0.apk 10-Feb-2024 01:02 3566 perl-sort-versions-doc-1.62-r0.apk 10-Feb-2024 01:02 3979 perl-sql-abstract-2.000001-r2.apk 04-Jul-2023 00:01 64388 perl-sql-abstract-classic-1.91-r1.apk 04-Jul-2023 00:01 29960 perl-sql-abstract-classic-doc-1.91-r1.apk 04-Jul-2023 00:01 20448 perl-sql-abstract-doc-2.000001-r2.apk 04-Jul-2023 00:01 45057 perl-sql-abstract-pg-1.0-r0.apk 12-Jan-2024 13:03 4917 perl-sql-abstract-pg-doc-1.0-r0.apk 12-Jan-2024 13:03 4389 perl-starman-0.4017-r0.apk 14-Sep-2023 10:34 13493 perl-starman-doc-0.4017-r0.apk 14-Sep-2023 10:34 9977 perl-statistics-descriptive-3.0801-r0.apk 13-Jul-2023 13:39 30764 perl-statistics-descriptive-doc-3.0801-r0.apk 13-Jul-2023 13:39 38138 perl-storable-improved-0.1.3-r0.apk 24-Feb-2024 12:50 6601 perl-storable-improved-doc-0.1.3-r0.apk 24-Feb-2024 12:50 6810 perl-string-camelcase-0.04-r2.apk 04-Jul-2023 00:01 3000 perl-string-camelcase-doc-0.04-r2.apk 04-Jul-2023 00:01 3279 perl-string-compare-constanttime-0.321-r5.apk 04-Jul-2023 00:01 7759 perl-string-compare-constanttime-doc-0.321-r5.apk 04-Jul-2023 00:01 5110 perl-string-crc32-2.100-r3.apk 04-Jul-2023 00:01 7444 perl-string-crc32-doc-2.100-r3.apk 04-Jul-2023 00:01 3211 perl-string-random-0.32-r2.apk 08-Jan-2024 09:44 7836 perl-string-random-doc-0.32-r2.apk 08-Jan-2024 09:44 6079 perl-syntax-keyword-match-0.14-r0.apk 01-May-2024 12:53 14523 perl-syntax-keyword-match-doc-0.14-r0.apk 01-May-2024 12:53 7683 perl-sys-syscall-0.25-r9.apk 04-Jan-2024 01:13 5195 perl-sys-syscall-doc-0.25-r9.apk 04-Jan-2024 01:13 3530 perl-sys-virt-10.2.0-r0.apk 09-Apr-2024 12:18 206973 perl-sys-virt-doc-10.2.0-r0.apk 09-Apr-2024 12:18 100906 perl-system-command-1.122-r0.apk 05-Feb-2024 16:10 11856 perl-system-command-doc-1.122-r0.apk 05-Feb-2024 16:10 10171 perl-template-plugin-csv-0.04-r3.apk 04-Jul-2023 00:01 2511 perl-template-plugin-csv-doc-0.04-r3.apk 04-Jul-2023 00:01 2840 perl-template-plugin-number-format-1.06-r4.apk 04-Jul-2023 00:01 4758 perl-template-plugin-number-format-doc-1.06-r4.apk 04-Jul-2023 00:01 4239 perl-term-size-0.211-r3.apk 04-Jul-2023 00:01 5940 perl-term-size-doc-0.211-r3.apk 04-Jul-2023 00:01 3588 perl-term-ui-0.50-r1.apk 04-Jul-2023 00:01 9965 perl-term-ui-doc-0.50-r1.apk 04-Jul-2023 00:01 8439 perl-test-api-0.010-r2.apk 04-Jul-2023 00:01 4947 perl-test-api-doc-0.010-r2.apk 04-Jul-2023 00:01 4088 perl-test-checkdeps-0.010-r0.apk 03-Jan-2024 18:24 3321 perl-test-checkdeps-doc-0.010-r0.apk 03-Jan-2024 18:24 3228 perl-test-class-tiny-0.03-r0.apk 05-Feb-2024 16:12 5750 perl-test-class-tiny-doc-0.03-r0.apk 05-Feb-2024 16:12 5302 perl-test-describeme-0.004-r0.apk 16-Apr-2024 17:38 3408 perl-test-describeme-doc-0.004-r0.apk 16-Apr-2024 17:38 4018 perl-test-distribution-2.00-r1.apk 04-Jul-2023 00:01 7674 perl-test-distribution-doc-2.00-r1.apk 04-Jul-2023 00:01 5962 perl-test-expander-2.5.0-r0.apk 09-Mar-2024 06:50 7038 perl-test-expander-doc-2.5.0-r0.apk 09-Mar-2024 06:50 20315 perl-test-file-1.993-r1.apk 04-Jul-2023 00:01 11699 perl-test-file-doc-1.993-r1.apk 04-Jul-2023 00:01 6683 perl-test-files-0.26-r0.apk 09-Mar-2024 06:50 6629 perl-test-files-doc-0.26-r0.apk 09-Mar-2024 06:50 14680 perl-test-lwp-useragent-0.036-r0.apk 14-Jan-2024 15:56 9799 perl-test-lwp-useragent-doc-0.036-r0.apk 14-Jan-2024 15:56 8281 perl-test-memorygrowth-0.04-r0.apk 24-Jan-2024 07:57 5495 perl-test-memorygrowth-doc-0.04-r0.apk 24-Jan-2024 07:57 5131 perl-test-modern-0.013-r3.apk 04-Jul-2023 00:01 14711 perl-test-modern-doc-0.013-r3.apk 04-Jul-2023 00:01 9839 perl-test-randomresult-0.001-r0.apk 16-Apr-2024 11:29 3340 perl-test-randomresult-doc-0.001-r0.apk 16-Apr-2024 11:29 3497 perl-test-redisserver-0.23-r0.apk 17-Jan-2024 12:17 4829 perl-test-redisserver-doc-0.23-r0.apk 17-Jan-2024 12:17 3909 perl-test-requires-git-1.008-r0.apk 05-Feb-2024 16:10 4634 perl-test-requires-git-doc-1.008-r0.apk 05-Feb-2024 16:10 4245 perl-test-roo-1.004-r3.apk 04-Jul-2023 00:01 11931 perl-test-roo-doc-1.004-r3.apk 04-Jul-2023 00:01 15574 perl-test-settings-0.003-r0.apk 16-Apr-2024 17:38 4802 perl-test-settings-doc-0.003-r0.apk 16-Apr-2024 17:38 5924 perl-test-timer-2.12-r2.apk 04-Jan-2024 01:13 8835 perl-test-timer-doc-2.12-r2.apk 04-Jan-2024 01:13 8328 perl-test-toolbox-0.4-r5.apk 17-Oct-2023 11:19 9828 perl-test-toolbox-doc-0.4-r5.apk 17-Oct-2023 11:19 6059 perl-test-trap-0.3.5-r1.apk 04-Jul-2023 00:01 19983 perl-test-trap-doc-0.3.5-r1.apk 04-Jul-2023 00:01 20121 perl-test-unit-0.25-r4.apk 17-Dec-2023 22:52 37721 perl-test-unit-doc-0.25-r4.apk 17-Dec-2023 22:52 49037 perl-test-useallmodules-0.17-r1.apk 04-Jul-2023 00:01 3646 perl-test-useallmodules-doc-0.17-r1.apk 04-Jul-2023 00:01 3692 perl-test-utf8-1.02-r2.apk 04-Jul-2023 00:01 5771 perl-test-utf8-doc-1.02-r2.apk 04-Jul-2023 00:01 4772 perl-test2-tools-explain-0.02-r0.apk 09-Mar-2024 06:50 3639 perl-test2-tools-explain-doc-0.02-r0.apk 09-Mar-2024 06:50 4275 perl-text-brew-0.02-r5.apk 04-Jul-2023 00:01 4375 perl-text-brew-doc-0.02-r5.apk 04-Jul-2023 00:01 3972 perl-text-table-any-0.117-r0.apk 25-Feb-2024 17:21 7997 perl-text-table-any-doc-0.117-r0.apk 25-Feb-2024 17:21 6545 perl-text-table-sprintf-0.008-r0.apk 25-Feb-2024 17:21 5221 perl-text-table-sprintf-doc-0.008-r0.apk 25-Feb-2024 17:21 5080 perl-throwable-1.001-r1.apk 04-Jul-2023 00:01 6102 perl-throwable-doc-1.001-r1.apk 04-Jul-2023 00:01 7933 perl-tickit-widget-choice-0.07-r0.apk 14-Jan-2024 12:55 3756 perl-tickit-widget-choice-doc-0.07-r0.apk 14-Jan-2024 12:55 3225 perl-tickit-widget-entry-plugin-completion-0.02..> 14-Jan-2024 12:55 4474 perl-tickit-widget-entry-plugin-completion-doc-..> 14-Jan-2024 12:55 3675 perl-tickit-widget-floatbox-0.11-r0.apk 14-Jan-2024 12:55 4541 perl-tickit-widget-floatbox-doc-0.11-r0.apk 14-Jan-2024 12:55 3986 perl-tickit-widget-menu-0.16-r0.apk 14-Jan-2024 12:55 7089 perl-tickit-widget-menu-doc-0.16-r0.apk 14-Jan-2024 12:55 6816 perl-tickit-widget-scrollbox-0.12-r0.apk 14-Jan-2024 12:55 7929 perl-tickit-widget-scrollbox-doc-0.12-r0.apk 14-Jan-2024 12:55 6437 perl-time-timegm-0.01-r8.apk 04-Jul-2023 00:01 6945 perl-time-timegm-doc-0.01-r8.apk 04-Jul-2023 00:01 3661 perl-types-path-tiny-0.006-r0.apk 13-Jan-2024 11:52 3778 perl-types-path-tiny-doc-0.006-r0.apk 13-Jan-2024 11:52 3926 perl-uri-db-0.22-r0.apk 05-Apr-2024 12:53 12311 perl-uri-db-doc-0.22-r0.apk 05-Apr-2024 12:53 8365 perl-uri-fetch-0.15-r0.apk 14-Jan-2024 15:56 6959 perl-uri-fetch-doc-0.15-r0.apk 14-Jan-2024 15:56 7434 perl-uri-nested-0.10-r0.apk 12-Jan-2024 13:03 3837 perl-uri-nested-doc-0.10-r0.apk 12-Jan-2024 13:03 3728 perl-uri-redis-0.02-r0.apk 15-Jan-2024 21:00 2997 perl-uri-redis-doc-0.02-r0.apk 15-Jan-2024 21:00 4416 perl-uri-tcp-2.0.0-r0.apk 15-Jan-2024 21:00 2509 perl-uri-tcp-doc-2.0.0-r0.apk 15-Jan-2024 21:00 4809 perl-url-encode-0.03-r4.apk 04-Jul-2023 00:01 5011 perl-url-encode-doc-0.03-r4.apk 04-Jul-2023 00:01 4548 perl-variable-disposition-0.005-r0.apk 15-Jan-2024 21:00 3080 perl-variable-disposition-doc-0.005-r0.apk 15-Jan-2024 21:00 5519 perl-x-tiny-0.22-r0.apk 16-Apr-2024 17:38 6768 perl-x-tiny-doc-0.22-r0.apk 16-Apr-2024 17:38 7508 perl-xml-atom-0.43-r0.apk 14-Jan-2024 15:56 19813 perl-xml-atom-doc-0.43-r0.apk 14-Jan-2024 15:56 16030 perl-xml-bare-0.53-r12.apk 17-Oct-2023 11:19 30170 perl-xml-bare-doc-0.53-r12.apk 17-Oct-2023 11:19 11421 perl-xml-feed-0.63-r0.apk 14-Jan-2024 15:56 13929 perl-xml-feed-doc-0.63-r0.apk 14-Jan-2024 15:56 12433 perl-xml-libxml-sax-chunkparser-0.00008-r0.apk 03-Jan-2024 18:24 2755 perl-xml-libxml-sax-chunkparser-doc-0.00008-r0.apk 03-Jan-2024 18:24 2870 perl-xml-rpc-2.0-r1.apk 04-Jul-2023 00:01 5719 perl-xml-rpc-doc-2.0-r1.apk 04-Jul-2023 00:01 4657 perl-xml-stream-1.24-r0.apk 03-Jan-2024 18:44 44831 perl-xml-stream-doc-1.24-r0.apk 03-Jan-2024 18:44 17818 persistent-cache-cpp-1.0.7-r1.apk 22-Apr-2024 18:59 47879 persistent-cache-cpp-dev-1.0.7-r1.apk 22-Apr-2024 18:59 18293 persistent-cache-cpp-doc-1.0.7-r1.apk 22-Apr-2024 18:59 3042 pest-language-server-0.3.9-r0.apk 08-Apr-2024 20:23 1188582 pfetch-0.6.0-r1.apk 02-Nov-2022 08:48 16174 pfqueue-0.5.6-r1.apk 14-Oct-2022 16:16 57690 pfqueue-dev-0.5.6-r1.apk 14-Oct-2022 16:16 25245 pfqueue-doc-0.5.6-r1.apk 14-Oct-2022 16:16 6367 phonon-backend-vlc-0.12.0-r0.apk 02-Nov-2023 15:06 1202 phonon-backend-vlc-lang-0.12.0-r0.apk 02-Nov-2023 15:06 17250 phonon-backend-vlc-qt5-0.12.0-r0.apk 02-Nov-2023 15:06 120672 phonon-backend-vlc-qt6-0.12.0-r0.apk 02-Nov-2023 15:06 156924 phoronix-test-suite-10.8.4-r2.apk 15-Oct-2023 13:20 4138102 phoronix-test-suite-bash-completion-10.8.4-r2.apk 15-Oct-2023 13:20 1549 phoronix-test-suite-doc-10.8.4-r2.apk 15-Oct-2023 13:20 294110 php81-8.1.28-r0.apk 10-Apr-2024 23:39 1971308 php81-apache2-8.1.28-r0.apk 10-Apr-2024 23:39 1945062 php81-bcmath-8.1.28-r0.apk 10-Apr-2024 23:39 20583 php81-bz2-8.1.28-r0.apk 10-Apr-2024 23:39 10597 php81-calendar-8.1.28-r0.apk 10-Apr-2024 23:39 13887 php81-cgi-8.1.28-r0.apk 10-Apr-2024 23:39 1950577 php81-common-8.1.28-r0.apk 10-Apr-2024 23:39 25530 php81-ctype-8.1.28-r0.apk 10-Apr-2024 23:39 5342 php81-curl-8.1.28-r0.apk 10-Apr-2024 23:39 36831 php81-dba-8.1.28-r0.apk 10-Apr-2024 23:39 24261 php81-dev-8.1.28-r0.apk 10-Apr-2024 23:39 973149 php81-doc-8.1.28-r0.apk 10-Apr-2024 23:39 68591 php81-dom-8.1.28-r0.apk 10-Apr-2024 23:39 64604 php81-embed-8.1.28-r0.apk 10-Apr-2024 23:39 1935973 php81-enchant-8.1.28-r0.apk 10-Apr-2024 23:39 8722 php81-exif-8.1.28-r0.apk 10-Apr-2024 23:39 31006 php81-ffi-8.1.28-r0.apk 10-Apr-2024 23:39 66862 php81-fileinfo-8.1.28-r0.apk 10-Apr-2024 23:39 393335 php81-fpm-8.1.28-r0.apk 10-Apr-2024 23:39 2025033 php81-ftp-8.1.28-r0.apk 10-Apr-2024 23:39 23420 php81-gd-8.1.28-r0.apk 10-Apr-2024 23:39 154325 php81-gettext-8.1.28-r0.apk 10-Apr-2024 23:39 6026 php81-gmp-8.1.28-r0.apk 10-Apr-2024 23:39 21924 php81-iconv-8.1.28-r0.apk 10-Apr-2024 23:39 18690 php81-imap-8.1.28-r0.apk 10-Apr-2024 23:39 34090 php81-intl-8.1.28-r0.apk 10-Apr-2024 23:39 145203 php81-ldap-8.1.28-r0.apk 10-Apr-2024 23:39 33146 php81-litespeed-8.1.28-r0.apk 10-Apr-2024 23:39 1963527 php81-mbstring-8.1.28-r0.apk 10-Apr-2024 23:39 586229 php81-mysqli-8.1.28-r0.apk 10-Apr-2024 23:39 40945 php81-mysqlnd-8.1.28-r0.apk 10-Apr-2024 23:39 85172 php81-odbc-8.1.28-r0.apk 10-Apr-2024 23:39 23577 php81-opcache-8.1.28-r0.apk 10-Apr-2024 23:39 74721 php81-openssl-8.1.28-r0.apk 10-Apr-2024 23:39 73554 php81-pcntl-8.1.28-r0.apk 10-Apr-2024 23:39 13806 php81-pdo-8.1.28-r0.apk 10-Apr-2024 23:39 42214 php81-pdo_dblib-8.1.28-r0.apk 10-Apr-2024 23:39 13045 php81-pdo_mysql-8.1.28-r0.apk 10-Apr-2024 23:39 13899 php81-pdo_odbc-8.1.28-r0.apk 10-Apr-2024 23:39 13201 php81-pdo_pgsql-8.1.28-r0.apk 10-Apr-2024 23:39 19728 php81-pdo_sqlite-8.1.28-r0.apk 10-Apr-2024 23:39 13521 php81-pear-8.1.28-r0.apk 10-Apr-2024 23:39 351596 php81-pecl-amqp-2.1.2-r0.apk 10-Apr-2024 23:39 55256 php81-pecl-apcu-5.1.23-r0.apk 10-Apr-2024 23:39 57688 php81-pecl-ast-1.1.1-r0.apk 10-Apr-2024 23:39 19012 php81-pecl-brotli-0.15.0-r0.apk 10-Apr-2024 23:39 11591 php81-pecl-csv-0.4.2-r0.apk 03-Jun-2022 05:54 10331 php81-pecl-decimal-1.5.0-r1.apk 10-Apr-2024 23:39 19410 php81-pecl-ds-1.5.0-r0.apk 10-Apr-2024 23:39 65055 php81-pecl-event-3.1.3-r0.apk 10-Apr-2024 23:39 52300 php81-pecl-igbinary-3.2.15-r0.apk 10-Apr-2024 23:39 36285 php81-pecl-imagick-3.7.0-r5.apk 10-Apr-2024 23:39 111993 php81-pecl-imagick-dev-3.7.0-r5.apk 10-Apr-2024 23:39 2099 php81-pecl-immutable_cache-6.1.0-r0.apk 04-Dec-2022 03:49 41597 php81-pecl-luasandbox-4.1.2-r0.apk 10-Apr-2024 23:39 32422 php81-pecl-lzf-1.7.0-r0.apk 10-Apr-2024 23:39 7772 php81-pecl-mailparse-3.1.6-r0.apk 10-Apr-2024 23:39 24617 php81-pecl-maxminddb-1.11.1-r0.apk 10-Apr-2024 23:39 8441 php81-pecl-mcrypt-1.0.7-r0.apk 10-Apr-2024 23:39 15188 php81-pecl-memcache-8.2-r1.apk 10-Apr-2024 23:39 44001 php81-pecl-memcached-3.2.0-r3.apk 10-Apr-2024 23:39 47220 php81-pecl-memprof-3.0.2-r0.apk 08-Jan-2022 02:49 14609 php81-pecl-mongodb-1.18.1-r0.apk 13-Apr-2024 17:05 803233 php81-pecl-msgpack-2.2.0-r0.apk 10-Apr-2024 23:39 25537 php81-pecl-oauth-2.0.7-r0.apk 10-May-2022 20:34 37334 php81-pecl-opentelemetry-1.0.3-r0.apk 05-May-2024 05:25 11927 php81-pecl-pcov-1.0.11-r0.apk 10-Apr-2024 23:39 10108 php81-pecl-protobuf-4.26.0-r0.apk 10-Apr-2024 23:39 139706 php81-pecl-psr-1.2.0-r0.apk 10-Apr-2024 23:39 17646 php81-pecl-rdkafka-6.0.3-r2.apk 10-Apr-2024 23:39 36708 php81-pecl-redis-6.0.2-r0.apk 10-Apr-2024 23:39 205738 php81-pecl-smbclient-1.1.1-r1.apk 10-Apr-2024 23:39 21404 php81-pecl-ssh2-1.4.1-r0.apk 10-Apr-2024 23:39 28254 php81-pecl-swoole-5.1.1-r0.apk 10-Apr-2024 23:39 937805 php81-pecl-swoole-dev-5.1.1-r0.apk 10-Apr-2024 23:39 190887 php81-pecl-timezonedb-2024.1-r0.apk 10-Apr-2024 23:39 189629 php81-pecl-uploadprogress-2.0.2-r1.apk 10-Apr-2024 23:39 6896 php81-pecl-uploadprogress-doc-2.0.2-r1.apk 10-Apr-2024 23:39 9896 php81-pecl-uuid-1.2.0-r0.apk 10-Apr-2024 23:39 6723 php81-pecl-vips-1.0.13-r0.apk 10-Apr-2024 23:39 16991 php81-pecl-xdebug-3.3.2-r0.apk 15-Apr-2024 14:44 149448 php81-pecl-xhprof-2.3.9-r1.apk 10-Apr-2024 23:39 13149 php81-pecl-xhprof-assets-2.3.9-r1.apk 10-Apr-2024 23:39 819568 php81-pecl-xlswriter-1.5.5-r0.apk 10-Apr-2024 23:39 236882 php81-pecl-xmlrpc-1.0.0_rc3-r1.apk 29-Apr-2023 21:47 38953 php81-pecl-yaml-2.2.3-r1.apk 10-Apr-2024 23:39 19898 php81-pecl-zephir_parser-1.6.0-r0.apk 28-Aug-2023 20:13 59852 php81-pecl-zstd-0.13.3-r0.apk 10-Apr-2024 23:39 11237 php81-pgsql-8.1.28-r0.apk 10-Apr-2024 23:39 45789 php81-phar-8.1.28-r0.apk 10-Apr-2024 23:39 125027 php81-phpdbg-8.1.28-r0.apk 10-Apr-2024 23:39 2024127 php81-posix-8.1.28-r0.apk 10-Apr-2024 23:39 11304 php81-pspell-8.1.28-r0.apk 10-Apr-2024 23:39 8195 php81-session-8.1.28-r0.apk 10-Apr-2024 23:39 37551 php81-shmop-8.1.28-r0.apk 10-Apr-2024 23:39 6346 php81-simplexml-8.1.28-r0.apk 10-Apr-2024 23:39 23429 php81-snmp-8.1.28-r0.apk 10-Apr-2024 23:39 21718 php81-soap-8.1.28-r0.apk 10-Apr-2024 23:39 144116 php81-sockets-8.1.28-r0.apk 10-Apr-2024 23:39 36728 php81-sodium-8.1.28-r0.apk 10-Apr-2024 23:39 25343 php81-sqlite3-8.1.28-r0.apk 10-Apr-2024 23:39 21348 php81-sysvmsg-8.1.28-r0.apk 10-Apr-2024 23:39 7824 php81-sysvsem-8.1.28-r0.apk 10-Apr-2024 23:39 5970 php81-sysvshm-8.1.28-r0.apk 10-Apr-2024 23:39 6935 php81-tideways_xhprof-5.0.4-r1.apk 10-May-2022 20:34 14174 php81-tidy-8.1.28-r0.apk 10-Apr-2024 23:39 18954 php81-tokenizer-8.1.28-r0.apk 10-Apr-2024 23:39 11995 php81-xml-8.1.28-r0.apk 10-Apr-2024 23:39 19679 php81-xmlreader-8.1.28-r0.apk 10-Apr-2024 23:39 14454 php81-xmlwriter-8.1.28-r0.apk 10-Apr-2024 23:39 11445 php81-xsl-8.1.28-r0.apk 10-Apr-2024 23:39 13897 php81-zip-8.1.28-r0.apk 10-Apr-2024 23:39 26430 php82-pecl-apfd-1.0.3-r0.apk 20-Dec-2023 16:48 4733 php82-pecl-excimer-1.2.1-r0.apk 29-Feb-2024 12:20 21864 php82-pecl-immutable_cache-6.1.0-r0.apk 04-Dec-2022 03:49 41775 php82-pecl-oauth-2.0.8-r0.apk 12-Dec-2022 16:43 37668 php82-pecl-phalcon-5.6.2-r0.apk 14-Mar-2024 22:32 1864952 php82-pecl-runkit7-4.0.0_alpha6-r1.apk 29-Mar-2024 11:24 31742 php82-pecl-teds-1.3.0-r0.apk 10-Nov-2022 14:41 139684 php82-pecl-vld-0.18.0-r0.apk 17-Sep-2022 03:35 17723 php82-pecl-zephir_parser-1.6.0-r0.apk 28-Aug-2023 20:13 59884 php82-snappy-0.2.1-r1.apk 10-May-2023 15:08 5495 php83-pecl-apfd-1.0.3-r0.apk 20-Dec-2023 16:48 4736 php83-pecl-eio-3.1.3-r0.apk 02-Mar-2024 22:38 30056 php83-pecl-excimer-1.2.1-r0.apk 29-Feb-2024 12:20 21883 php83-pecl-phalcon-5.6.2-r0.apk 14-Mar-2024 22:32 1863441 php83-pecl-vld-0.18.0-r1.apk 02-Feb-2024 23:57 16102 php83-pecl-zmq-1.1.4-r0.apk 22-Nov-2023 10:55 32229 pick-4.0.0-r0.apk 18-May-2023 09:59 10463 pick-doc-4.0.0-r0.apk 18-May-2023 09:59 3137 pict-rs-0.5.13-r0.apk 16-Apr-2024 11:25 5641098 pict-rs-openrc-0.5.13-r0.apk 16-Apr-2024 11:25 1682 pidif-0.1-r1.apk 24-May-2023 07:48 175780 pimd-3.0_git20220201-r0.apk 06-Mar-2022 10:30 89504 pimd-dense-2.1.0-r0.apk 14-Jan-2023 01:44 54999 pimd-dense-doc-2.1.0-r0.apk 14-Jan-2023 01:44 19883 pimd-dense-openrc-2.1.0-r0.apk 14-Jan-2023 01:44 1625 pimd-doc-3.0_git20220201-r0.apk 06-Mar-2022 10:30 35592 pimd-openrc-3.0_git20220201-r0.apk 06-Mar-2022 10:30 1662 pinentry-bemenu-0.13.1-r0.apk 22-Mar-2024 14:55 8739 pipectl-0.4.1-r1.apk 01-Feb-2023 19:42 6408 pipectl-doc-0.4.1-r1.apk 01-Feb-2023 19:42 2813 pipeline-1.14.5-r0.apk 24-Feb-2024 12:27 2600665 pipeline-doc-1.14.5-r0.apk 24-Feb-2024 12:27 13662 pipeline-lang-1.14.5-r0.apk 24-Feb-2024 12:27 18873 piper-phonemize-2023.11.14.4-r1.apk 10-Mar-2024 14:56 9434611 piper-phonemize-dev-2023.11.14.4-r1.apk 10-Mar-2024 14:56 403689 piper-phonemize-libs-2023.11.14.4-r1.apk 10-Mar-2024 14:56 72418 piper-tts-2023.11.14.2-r3.apk 24-Mar-2024 19:41 135952 piper-tts-dev-2023.11.14.2-r3.apk 24-Mar-2024 19:41 144506 pithos-1.6.1-r0.apk 30-May-2023 21:22 106697 pithos-doc-1.6.1-r0.apk 30-May-2023 21:22 1873 pithos-pyc-1.6.1-r0.apk 30-May-2023 21:22 157718 pitivi-2023.03-r1.apk 16-Apr-2024 16:11 2286592 pitivi-lang-2023.03-r1.apk 16-Apr-2024 16:11 695943 pitivi-pyc-2023.03-r1.apk 16-Apr-2024 16:11 720373 pixi-0.20.1-r0.apk 26-Apr-2024 19:26 8870289 pixi-bash-completion-0.20.1-r0.apk 26-Apr-2024 19:26 5647 pixi-doc-0.20.1-r0.apk 26-Apr-2024 19:26 6773 pixi-fish-completion-0.20.1-r0.apk 26-Apr-2024 19:26 8050 pixi-zsh-completion-0.20.1-r0.apk 26-Apr-2024 19:26 8478 pixiewps-1.4.2-r1.apk 26-Jul-2022 07:52 40067 pixiewps-doc-1.4.2-r1.apk 26-Jul-2022 07:52 3469 planarity-3.0.2.0-r2.apk 01-Aug-2023 12:38 10642 planarity-dev-3.0.2.0-r2.apk 01-Aug-2023 12:38 19478 planarity-doc-3.0.2.0-r2.apk 01-Aug-2023 12:38 12926 planarity-libs-3.0.2.0-r2.apk 01-Aug-2023 12:38 84772 planner-0.14.92-r0.apk 08-Jan-2024 09:44 381347 planner-doc-0.14.92-r0.apk 08-Jan-2024 09:44 2000 planner-lang-0.14.92-r0.apk 08-Jan-2024 09:44 846508 please-0.4.2-r2.apk 24-May-2023 07:48 1006501 please-doc-0.4.2-r2.apk 24-May-2023 07:48 13729 plfit-0.9.4-r2.apk 01-Aug-2023 12:38 56516 plfit-dev-0.9.4-r2.apk 01-Aug-2023 12:38 6144 plfit-libs-0.9.4-r2.apk 01-Aug-2023 12:38 39487 plfit-static-0.9.4-r2.apk 01-Aug-2023 12:38 49262 plib-1.8.5-r3.apk 30-Oct-2023 00:36 967350 plots-0.7.0-r0.apk 25-Sep-2023 04:43 518180 plplot-5.15.0-r2.apk 02-Nov-2022 08:48 31984 plplot-dev-5.15.0-r2.apk 02-Nov-2022 08:48 60149 plplot-doc-5.15.0-r2.apk 02-Nov-2022 08:48 317761 plplot-libs-5.15.0-r2.apk 02-Nov-2022 08:48 209990 plzip-1.11-r0.apk 25-Jan-2024 16:34 51075 plzip-doc-1.11-r0.apk 25-Jan-2024 16:34 16271 pmccabe-2.8-r1.apk 02-Nov-2022 08:48 28470 pmccabe-doc-2.8-r1.apk 02-Nov-2022 08:48 7051 pnmixer-0.7.2-r3.apk 11-Oct-2023 17:35 146459 pnmixer-doc-0.7.2-r3.apk 11-Oct-2023 17:35 2090 pnmixer-lang-0.7.2-r3.apk 11-Oct-2023 17:35 25132 pnpm-9.0.6-r0.apk 25-Apr-2024 00:05 2649927 poke-4.0-r0.apk 25-Apr-2024 21:06 1214558 poke-doc-4.0-r0.apk 25-Apr-2024 21:06 202672 pokoy-0.2.5-r0.apk 22-May-2023 21:26 9809 pokoy-doc-0.2.5-r0.apk 22-May-2023 21:26 2798 policycoreutils-3.6-r0.apk 08-Jan-2024 09:44 71864 policycoreutils-bash-completion-3.6-r0.apk 08-Jan-2024 09:44 2275 policycoreutils-doc-3.6-r0.apk 08-Jan-2024 09:44 22980 policycoreutils-lang-3.6-r0.apk 08-Jan-2024 09:44 110674 polyglot-2.0.4-r1.apk 01-Aug-2023 12:38 69145 polyglot-doc-2.0.4-r1.apk 01-Aug-2023 12:38 48472 pomo-0.8.1-r15.apk 07-Apr-2024 00:50 1522339 pomo-doc-0.8.1-r15.apk 07-Apr-2024 00:50 2521 pongoos-loader-0_git20210704-r1.apk 02-Nov-2022 08:48 2160 popeye-0.21.3-r0.apk 04-May-2024 11:32 23236216 portsmf-239-r1.apk 17-Mar-2023 18:52 60612 portsmf-dev-239-r1.apk 17-Mar-2023 18:52 20501 postgresql-hll-2.18-r0.apk 17-Dec-2023 21:27 29047 postgresql-hll-bitcode-2.18-r0.apk 17-Dec-2023 21:27 56970 postgresql-pg_later-0.0.14-r0.apk 31-Jan-2024 01:39 685752 postgresql-pg_partman-5.0.0-r0.apk 17-Dec-2023 21:56 994982 postgresql-pg_partman-bitcode-5.0.0-r0.apk 17-Dec-2023 21:56 23162 postgresql-pg_partman-doc-5.0.0-r0.apk 17-Dec-2023 21:56 48260 postgresql-pg_partman-scripts-5.0.0-r0.apk 17-Dec-2023 21:56 7627 postgresql-pg_variables-1.2.4_git20220909-r2.apk 03-Oct-2023 23:25 25209 postgresql-pg_variables-bitcode-1.2.4_git202209..> 03-Oct-2023 23:25 55856 postgresql-pgmq-1.1.1-r0.apk 28-Jan-2024 00:07 306950 pounce-3.1-r3.apk 03-Jan-2024 14:08 31692 pounce-doc-3.1-r3.apk 03-Jan-2024 14:08 8494 pounce-openrc-3.1-r3.apk 03-Jan-2024 14:08 2674 power-profiles-daemon-0.20-r1.apk 02-Apr-2024 07:53 34441 powerline-extra-symbols-0_git20191017-r0.apk 21-Mar-2021 06:00 294291 powerline-extra-symbols-doc-0_git20191017-r0.apk 21-Mar-2021 06:00 2428 powerstat-0.04.01-r0.apk 03-Jan-2024 12:58 19894 powerstat-bash-completion-0.04.01-r0.apk 03-Jan-2024 12:58 2080 powerstat-doc-0.04.01-r0.apk 03-Jan-2024 12:58 4097 powersupply-0.9.0-r0.apk 08-Jan-2024 09:44 12606 pptpclient-1.10.0-r4.apk 04-Jul-2023 00:01 33626 pptpclient-doc-1.10.0-r4.apk 04-Jul-2023 00:01 7107 pqiv-2.12-r1.apk 02-Nov-2022 08:48 69988 pqiv-doc-2.12-r1.apk 02-Nov-2022 08:48 12049 prettier-3.2.5-r0.apk 05-Feb-2024 23:59 2134422 prettier-doc-3.2.5-r0.apk 05-Feb-2024 23:59 22420 primecount-7.13-r0.apk 01-May-2024 17:13 31454 primecount-dev-7.13-r0.apk 01-May-2024 17:13 2910069 primecount-doc-7.13-r0.apk 01-May-2024 17:13 3674 primecount-libs-7.13-r0.apk 01-May-2024 17:13 153328 primesieve-12.3-r0.apk 01-May-2024 17:13 47466 primesieve-dev-12.3-r0.apk 01-May-2024 17:13 1386959 primesieve-doc-12.3-r0.apk 01-May-2024 17:13 3866 primesieve-libs-12.3-r0.apk 01-May-2024 17:13 98647 prjtrellis-1.4-r2.apk 22-Apr-2024 18:59 1325966 prjtrellis-db-0_git20230929-r0.apk 12-Jan-2024 02:24 3111 prjtrellis-db-ecp5-0_git20230929-r0.apk 12-Jan-2024 02:24 2236516 prjtrellis-db-machxo-0_git20230929-r0.apk 12-Jan-2024 02:24 39876 prjtrellis-db-machxo2-0_git20230929-r0.apk 12-Jan-2024 02:24 1037418 prjtrellis-db-machxo3-0_git20230929-r0.apk 12-Jan-2024 02:24 1117551 prjtrellis-db-machxo3d-0_git20230929-r0.apk 12-Jan-2024 02:24 765425 projectm-3.1.12-r1.apk 20-Aug-2022 13:35 455370 projectm-dev-3.1.12-r1.apk 20-Aug-2022 13:35 608707 projectm-presets-3.1.12-r1.apk 20-Aug-2022 13:35 4573462 projectm-pulseaudio-3.1.12-r1.apk 20-Aug-2022 13:35 427664 projectm-pulseaudio-doc-3.1.12-r1.apk 20-Aug-2022 13:35 2101 projectm-sdl-3.1.12-r1.apk 20-Aug-2022 13:35 335946 projectsandcastle-loader-0_git20200307-r1.apk 02-Nov-2022 08:48 5020 prometheus-bind-exporter-0.7.0-r3.apk 07-Apr-2024 00:50 4310248 prometheus-bind-exporter-openrc-0.7.0-r3.apk 07-Apr-2024 00:50 1695 prometheus-ceph-exporter-4.2.3-r1.apk 07-Apr-2024 00:50 3685177 prometheus-ceph-exporter-openrc-4.2.3-r1.apk 07-Apr-2024 00:50 1723 prometheus-podman-exporter-1.11.0-r0.apk 28-Apr-2024 19:35 14409583 prometheus-rethinkdb-exporter-1.0.1-r20.apk 07-Apr-2024 00:50 3822277 prometheus-rethinkdb-exporter-openrc-1.0.1-r20.apk 07-Apr-2024 00:50 1447 prometheus-smartctl-exporter-0.12.0-r2.apk 07-Apr-2024 00:50 3978901 prometheus-smartctl-exporter-openrc-0.12.0-r2.apk 07-Apr-2024 00:50 1720 prometheus-smokeping-prober-0.7.1-r4.apk 07-Apr-2024 00:50 4235830 prometheus-smokeping-prober-openrc-0.7.1-r4.apk 07-Apr-2024 00:50 1835 prometheus-unbound-exporter-0.4.1-r5.apk 07-Apr-2024 00:50 3362442 prometheus-unbound-exporter-openrc-0.4.1-r5.apk 07-Apr-2024 00:50 1786 prosody-mod-auth_ldap-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 2881 prosody-mod-auth_pam-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 1850 prosody-mod-auth_sql-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 2703 prosody-mod-block_registrations-0.11_hg20201208..> 08-Dec-2020 21:10 1808 prosody-mod-bookmarks-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 3184 prosody-mod-broadcast-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 1894 prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> 08-Dec-2020 21:10 2051 prosody-mod-cloud_notify-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 7385 prosody-mod-conversejs-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 3345 prosody-mod-host_guard-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 2898 prosody-mod-http_upload_external-0.11_hg2020120..> 08-Dec-2020 21:10 2960 prosody-mod-ipcheck-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 2003 prosody-mod-log_auth-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 1808 prosody-mod-log_slow_events-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 2235 prosody-mod-mam-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 6025 prosody-mod-mam_muc-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 5686 prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> 08-Dec-2020 21:10 7023 prosody-mod-pastebin-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 3807 prosody-mod-register_json-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 105990 prosody-mod-register_redirect-0.11_hg20201208-r..> 08-Dec-2020 21:10 2773 prosody-mod-reload_modules-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 2047 prosody-mod-require_otr-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 1754 prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> 08-Dec-2020 21:10 2063 prosody-mod-saslname-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 1645 prosody-mod-server_status-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 2841 prosody-mod-smacks-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 8786 prosody-mod-stanza_counter-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 2085 prosody-mod-support_contact-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 2072 prosody-mod-vcard_muc-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 2725 prosody-mod-webpresence-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 2699 prosody-modules-0.11_hg20201208-r0.apk 08-Dec-2020 21:10 1487 protoc-gen-go-1.34.0-r0.apk 01-May-2024 19:27 1791264 protoconf-0.1.7-r5.apk 07-Apr-2024 00:50 7287427 psftools-1.1.1-r0.apk 11-Jan-2022 20:17 332593 psftools-dev-1.1.1-r0.apk 11-Jan-2022 20:17 81012 psftools-doc-1.1.1-r0.apk 11-Jan-2022 20:17 53681 psi-notify-1.3.1-r0.apk 09-Apr-2023 12:03 11255 pspp-1.4.1-r3.apk 04-Jul-2023 00:01 8420465 pspp-dbg-1.4.1-r3.apk 04-Jul-2023 00:01 3811373 pspp-doc-1.4.1-r3.apk 04-Jul-2023 00:01 431757 ptpd-2.3.1-r1.apk 02-Nov-2022 08:48 173252 ptpd-doc-2.3.1-r1.apk 02-Nov-2022 08:48 20503 ptpd-openrc-2.3.1-r1.apk 02-Nov-2022 08:48 2179 ptylie-0.2-r1.apk 02-Nov-2022 08:48 12102 ptylie-doc-0.2-r1.apk 02-Nov-2022 08:48 2961 pully-1.0.0-r0.apk 27-Feb-2022 20:44 2587 pully-openrc-1.0.0-r0.apk 27-Feb-2022 20:44 1759 pulsar-client-cpp-3.1.2-r4.apk 22-Apr-2024 18:59 1412869 pulsar-client-cpp-dev-3.1.2-r4.apk 22-Apr-2024 18:59 55626 pulseview-0.4.2-r8.apk 22-Apr-2024 18:59 1047575 pulseview-doc-0.4.2-r8.apk 22-Apr-2024 18:59 3480 purple-facebook-0.9.6-r0.apk 23-Jul-2020 12:29 80820 purple-hangouts-0_git20200422-r0.apk 08-Jul-2020 13:48 236835 pw-volume-0.5.0-r1.apk 24-May-2023 07:48 355295 pwauth-2.3.11-r2.apk 02-Nov-2022 08:49 3785 pwauth-doc-2.3.11-r2.apk 02-Nov-2022 08:49 6697 pxalarm-2.0.0a-r0.apk 18-Aug-2023 06:23 2306 pxmenu-1.0.0-r1.apk 01-Jun-2023 20:37 2681 py-spy-0.3.14-r3.apk 01-Jul-2023 05:25 981165 py-spy-bash-completion-0.3.14-r3.apk 01-Jul-2023 05:25 2130 py-spy-doc-0.3.14-r3.apk 01-Jul-2023 05:25 2058 py-spy-fish-completion-0.3.14-r3.apk 01-Jul-2023 05:25 2366 py-spy-zsh-completion-0.3.14-r3.apk 01-Jul-2023 05:25 2834 py3-actdiag-3.0.0-r3.apk 22-Apr-2023 01:18 12820 py3-actdiag-pyc-3.0.0-r3.apk 22-Apr-2023 01:18 22771 py3-aesedb-0.1.6-r2.apk 15-Apr-2024 21:51 37875 py3-aesedb-pyc-0.1.6-r2.apk 15-Apr-2024 21:51 77256 py3-agithub-2.2.2-r5.apk 15-Apr-2024 21:51 17317 py3-agithub-pyc-2.2.2-r5.apk 15-Apr-2024 21:51 21894 py3-aiodocker-0.21.0-r1.apk 15-Apr-2024 21:51 29753 py3-aiodocker-pyc-0.21.0-r1.apk 15-Apr-2024 21:51 61569 py3-aiohttp-debugtoolbar-0.6.1-r1.apk 15-Apr-2024 21:51 447512 py3-aiohttp-debugtoolbar-pyc-0.6.1-r1.apk 15-Apr-2024 21:51 52168 py3-aiohttp-jinja2-1.6-r2.apk 15-Apr-2024 21:51 12418 py3-aiohttp-jinja2-pyc-1.6-r2.apk 15-Apr-2024 21:51 9185 py3-aiohttp-remotes-1.2.0-r3.apk 15-Apr-2024 21:51 10504 py3-aiohttp-remotes-pyc-1.2.0-r3.apk 15-Apr-2024 21:51 19137 py3-aiohttp-session-2.12.0-r3.apk 15-Apr-2024 21:51 10295 py3-aiohttp-session-pyc-2.12.0-r3.apk 15-Apr-2024 21:51 15178 py3-aioopenssl-0.6.0-r3.apk 15-Apr-2024 21:51 16931 py3-aioopenssl-pyc-0.6.0-r3.apk 15-Apr-2024 21:51 19347 py3-aiosasl-0.5.0-r3.apk 15-Apr-2024 21:51 15067 py3-aiosasl-doc-0.5.0-r3.apk 15-Apr-2024 21:51 16613 py3-aiosasl-pyc-0.5.0-r3.apk 15-Apr-2024 21:51 24275 py3-aiosmb-0.4.10-r1.apk 15-Apr-2024 21:51 610772 py3-aiosmb-pyc-0.4.10-r1.apk 15-Apr-2024 21:51 1118876 py3-aiowinreg-0.0.10-r1.apk 15-Apr-2024 21:51 22951 py3-aiowinreg-pyc-0.0.10-r1.apk 15-Apr-2024 21:51 44814 py3-aioxmpp-0.13.3-r2.apk 15-Apr-2024 21:51 376315 py3-aioxmpp-doc-0.13.3-r2.apk 15-Apr-2024 21:51 18539 py3-aioxmpp-pyc-0.13.3-r2.apk 15-Apr-2024 21:51 689033 py3-ajsonrpc-1.2.0-r3.apk 15-Apr-2024 21:51 16188 py3-ajsonrpc-pyc-1.2.0-r3.apk 15-Apr-2024 21:51 24346 py3-allfiles-1.0-r8.apk 15-Apr-2024 21:51 3388 py3-allfiles-pyc-1.0-r8.apk 15-Apr-2024 21:51 3079 py3-altgraph-0.17.4-r1.apk 15-Apr-2024 21:51 20960 py3-altgraph-pyc-0.17.4-r1.apk 15-Apr-2024 21:51 29565 py3-ansi2html-1.9.1-r1.apk 15-Apr-2024 21:51 17813 py3-ansi2html-pyc-1.9.1-r1.apk 15-Apr-2024 21:51 22210 py3-anyascii-0.3.2-r1.apk 15-Apr-2024 21:51 281054 py3-anyascii-pyc-0.3.2-r1.apk 15-Apr-2024 21:51 3144 py3-apicula-0.11.1-r1.apk 15-Apr-2024 21:51 8891574 py3-apicula-pyc-0.11.1-r1.apk 15-Apr-2024 21:51 183523 py3-apsw-3.45.2.0-r1.apk 15-Apr-2024 21:51 342677 py3-apsw-pyc-3.45.2.0-r1.apk 15-Apr-2024 21:51 326615 py3-arcus-5.3.0-r0.apk 21-Apr-2024 18:49 95848 py3-arpeggio-2.0.2-r1.apk 15-Apr-2024 14:28 22147 py3-arpeggio-pyc-2.0.2-r1.apk 15-Apr-2024 14:28 42071 py3-asif-0.3.2-r2.apk 15-Apr-2024 21:51 12218 py3-asif-pyc-0.3.2-r2.apk 15-Apr-2024 21:51 26260 py3-ask-0.0.8-r8.apk 15-Apr-2024 21:51 4855 py3-ask-pyc-0.0.8-r8.apk 15-Apr-2024 21:51 4315 py3-astral-3.2-r3.apk 15-Apr-2024 21:51 37650 py3-astral-pyc-3.2-r3.apk 15-Apr-2024 21:51 60071 py3-asyauth-0.0.20-r1.apk 15-Apr-2024 21:51 81085 py3-asyauth-pyc-0.0.20-r1.apk 15-Apr-2024 21:51 175231 py3-async-lru-2.0.4-r1.apk 15-Apr-2024 21:51 7195 py3-async-lru-pyc-2.0.4-r1.apk 15-Apr-2024 21:51 8552 py3-asysocks-0.2.12-r1.apk 15-Apr-2024 21:51 88445 py3-asysocks-pyc-0.2.12-r1.apk 15-Apr-2024 21:51 236251 py3-authres-1.2.0-r1.apk 15-Apr-2024 21:51 22760 py3-authres-pyc-1.2.0-r1.apk 15-Apr-2024 21:51 21831 py3-avro-1.11.3-r1.apk 15-Apr-2024 21:51 99750 py3-avro-pyc-1.11.3-r1.apk 15-Apr-2024 21:51 195687 py3-banal-1.0.6-r3.apk 15-Apr-2024 21:51 5565 py3-banal-pyc-1.0.6-r3.apk 15-Apr-2024 21:51 7134 py3-bandwidth-sdk-3.1.0-r7.apk 15-Apr-2024 21:51 45242 py3-bandwidth-sdk-pyc-3.1.0-r7.apk 15-Apr-2024 21:51 70661 py3-barcodenumber-0.2.1-r10.apk 15-Apr-2024 21:51 16454 py3-barcodenumber-pyc-0.2.1-r10.apk 15-Apr-2024 21:51 4099 py3-base58-2.1.1-r1.apk 15-Apr-2024 21:51 10358 py3-beartype-0.18.5-r0.apk 25-Apr-2024 00:51 748046 py3-beartype-pyc-0.18.5-r0.apk 25-Apr-2024 00:51 537415 py3-bencode-4.0.0-r1.apk 15-Apr-2024 21:51 17236 py3-bencode-pyc-4.0.0-r1.apk 15-Apr-2024 21:51 10447 py3-bidict-0.23.1-r1.apk 15-Apr-2024 21:51 28119 py3-bidict-pyc-0.23.1-r1.apk 15-Apr-2024 21:51 29186 py3-bite-parser-0.2.4-r1.apk 15-Apr-2024 21:51 14067 py3-bite-parser-pyc-0.2.4-r1.apk 15-Apr-2024 21:51 23916 py3-bitstruct-8.19.0-r1.apk 15-Apr-2024 21:51 35994 py3-bitstruct-pyc-8.19.0-r1.apk 15-Apr-2024 21:51 12825 py3-blockchain-1.4.4-r6.apk 15-Apr-2024 21:51 10375 py3-blockchain-pyc-1.4.4-r6.apk 15-Apr-2024 21:51 18041 py3-blockdiag-3.0.0-r4.apk 15-Apr-2024 21:51 71565 py3-blockdiag-pyc-3.0.0-r4.apk 15-Apr-2024 21:51 153428 py3-blockdiag-tests-3.0.0-r4.apk 15-Apr-2024 21:51 2632315 py3-bookkeeper-4.16.2-r1.apk 15-Apr-2024 21:51 43550 py3-bookkeeper-pyc-4.16.2-r1.apk 15-Apr-2024 21:51 69082 py3-bottle-api-0.0.4-r7.apk 15-Apr-2024 21:51 4726 py3-bottle-api-pyc-0.0.4-r7.apk 15-Apr-2024 21:51 5073 py3-bottle-pgsql-0.2-r5.apk 15-Apr-2024 21:51 4108 py3-bottle-redis-0.2.3-r6.apk 15-Apr-2024 21:51 3115 py3-bottle-redis-pyc-0.2.3-r6.apk 15-Apr-2024 21:51 2938 py3-bottle-renderer-0.1.1-r9.apk 15-Apr-2024 21:51 3814 py3-bottle-renderer-pyc-0.1.1-r9.apk 15-Apr-2024 21:51 3561 py3-bottle-request-0.2.0-r9.apk 15-Apr-2024 21:51 3022 py3-bottle-request-pyc-0.2.0-r9.apk 15-Apr-2024 21:51 2396 py3-bottle-rest-0.6.0-r1.apk 15-Apr-2024 21:51 5992 py3-bottle-rest-pyc-0.6.0-r1.apk 15-Apr-2024 21:51 5035 py3-bottle-session-1.0-r6.apk 15-Apr-2024 21:51 10174 py3-bottle-session-pyc-1.0-r6.apk 15-Apr-2024 21:51 7716 py3-bottle-sqlalchemy-0.4.3-r8.apk 15-Apr-2024 21:51 4701 py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk 15-Apr-2024 21:51 5502 py3-bottle-sqlite-0.2.0-r7.apk 15-Apr-2024 21:51 4546 py3-bottle-sqlite-pyc-0.2.0-r7.apk 15-Apr-2024 21:51 5096 py3-bottle-websocket-0.2.9-r8.apk 15-Apr-2024 21:51 4489 py3-bottle-websocket-pyc-0.2.9-r8.apk 15-Apr-2024 21:51 2946 py3-bottle-werkzeug-0.1.1-r9.apk 15-Apr-2024 21:51 3927 py3-bottle-werkzeug-pyc-0.1.1-r9.apk 15-Apr-2024 21:51 4090 py3-bson-0.5.10-r5.apk 15-Apr-2024 21:51 11427 py3-bson-pyc-0.5.10-r5.apk 15-Apr-2024 21:51 18702 py3-businesstime-0.3.0-r9.apk 15-Apr-2024 21:51 10624 py3-businesstime-pyc-0.3.0-r9.apk 15-Apr-2024 21:51 16465 py3-c3d-0.5.2-r1.apk 15-Apr-2024 21:51 32580 py3-c3d-pyc-0.5.2-r1.apk 15-Apr-2024 21:51 54722 py3-caldav-1.3.9-r1.apk 15-Apr-2024 21:51 65319 py3-caldav-pyc-1.3.9-r1.apk 15-Apr-2024 21:51 81496 py3-cassandra-driver-3.29.1-r0.apk 15-Apr-2024 21:51 295417 py3-cassandra-driver-pyc-3.29.1-r0.apk 15-Apr-2024 21:51 573969 py3-catkin-pkg-0.5.2-r3.apk 15-Apr-2024 21:51 56524 py3-catkin-pkg-pyc-0.5.2-r3.apk 15-Apr-2024 21:51 105250 py3-cbor2-5.6.1-r1.apk 15-Apr-2024 21:51 63645 py3-cbor2-pyc-5.6.1-r1.apk 15-Apr-2024 21:51 40523 py3-cchardet-2.1.7-r4.apk 15-Apr-2024 21:51 110571 py3-cchardet-pyc-2.1.7-r4.apk 15-Apr-2024 21:51 2890 py3-cdio-2.1.1-r4.apk 15-Apr-2024 21:51 91720 py3-cdio-pyc-2.1.1-r4.apk 15-Apr-2024 21:51 44081 py3-certauth-1.3.0-r0.apk 21-Oct-2023 08:18 8668 py3-certauth-pyc-1.3.0-r0.apk 21-Oct-2023 08:18 9655 py3-chameleon-4.5.4-r0.apk 14-Apr-2024 12:23 99570 py3-chameleon-pyc-4.5.4-r0.apk 14-Apr-2024 12:23 133927 py3-ciso8601-2.3.1-r1.apk 15-Apr-2024 21:51 16439 py3-cjkwrap-2.2-r3.apk 15-Apr-2024 21:51 4311 py3-cjkwrap-pyc-2.2-r3.apk 15-Apr-2024 21:51 5115 py3-class-doc-1.25-r1.apk 15-Apr-2024 21:51 5937 py3-class-doc-pyc-1.25-r1.apk 15-Apr-2024 21:51 8655 py3-click-completion-0.5.2-r1.apk 15-Apr-2024 21:51 10785 py3-click-completion-pyc-0.5.2-r1.apk 15-Apr-2024 21:51 14320 py3-click-default-group-1.2.4-r1.apk 15-Apr-2024 21:51 5006 py3-click-default-group-pyc-1.2.4-r1.apk 15-Apr-2024 21:51 4369 py3-click-threading-0.5.0-r4.apk 15-Apr-2024 21:51 5294 py3-click-threading-pyc-0.5.0-r4.apk 15-Apr-2024 21:51 7798 py3-clickclick-20.10.2-r2.apk 22-Apr-2023 11:46 7600 py3-clickclick-pyc-20.10.2-r2.apk 22-Apr-2023 11:46 10371 py3-cmd2-2.4.3-r2.apk 15-Apr-2024 21:51 142498 py3-cmd2-pyc-2.4.3-r2.apk 15-Apr-2024 21:51 227693 py3-cobs-1.2.0-r3.apk 15-Apr-2024 21:51 20020 py3-cobs-pyc-1.2.0-r3.apk 15-Apr-2024 21:51 12581 py3-codecov-2.1.13-r2.apk 15-Apr-2024 21:51 13833 py3-codecov-pyc-2.1.13-r2.apk 15-Apr-2024 21:51 21539 py3-colander-2.0-r1.apk 15-Apr-2024 21:51 61199 py3-colander-pyc-2.0-r1.apk 15-Apr-2024 21:51 43248 py3-colored-1.4.4-r2.apk 15-Apr-2024 21:51 13507 py3-colored-pyc-1.4.4-r2.apk 15-Apr-2024 21:51 17097 py3-colorthief-0.2.1-r1.apk 15-Apr-2024 21:51 7224 py3-colorthief-pyc-0.2.1-r1.apk 15-Apr-2024 21:51 10026 py3-columnize-0.3.11-r3.apk 15-Apr-2024 21:51 7485 py3-columnize-pyc-0.3.11-r3.apk 15-Apr-2024 21:51 7458 py3-compdb-0.2.0-r7.apk 15-Apr-2024 21:51 22141 py3-compdb-doc-0.2.0-r7.apk 15-Apr-2024 21:51 2870 py3-compdb-pyc-0.2.0-r7.apk 15-Apr-2024 21:51 40332 py3-confluent-kafka-1.8.2-r4.apk 15-Apr-2024 21:51 93144 py3-confluent-kafka-pyc-1.8.2-r4.apk 15-Apr-2024 21:51 78650 py3-cookiecutter-2.6.0-r1.apk 15-Apr-2024 21:51 35955 py3-cookiecutter-doc-2.6.0-r1.apk 15-Apr-2024 21:51 3549 py3-cookiecutter-pyc-2.6.0-r1.apk 15-Apr-2024 21:51 48370 py3-coreapi-2.3.3-r8.apk 15-Apr-2024 21:51 21562 py3-coreapi-pyc-2.3.3-r8.apk 15-Apr-2024 21:51 44012 py3-crc16-0.1.1-r10.apk 15-Apr-2024 21:51 12705 py3-crc16-pyc-0.1.1-r10.apk 15-Apr-2024 21:51 4552 py3-createrepo_c-1.0.2-r1.apk 15-Apr-2024 21:51 40758 py3-createrepo_c-pyc-1.0.2-r1.apk 15-Apr-2024 21:51 7565 py3-cssutils-2.10.2-r0.apk 26-Apr-2024 13:59 170950 py3-cssutils-pyc-2.10.2-r0.apk 26-Apr-2024 13:59 283661 py3-cstruct-5.3-r1.apk 15-Apr-2024 21:51 22311 py3-cstruct-pyc-5.3-r1.apk 15-Apr-2024 21:51 36736 py3-cucumber-tag-expressions-6.0.0-r1.apk 15-Apr-2024 21:51 8428 py3-cucumber-tag-expressions-pyc-6.0.0-r1.apk 15-Apr-2024 21:51 10003 py3-cvxpy-1.2.1-r4.apk 15-Apr-2024 21:51 678331 py3-cvxpy-pyc-1.2.1-r4.apk 15-Apr-2024 21:51 958322 py3-daemon-2.3.2-r3.apk 15-Apr-2024 21:51 17416 py3-daemon-pyc-2.3.2-r3.apk 15-Apr-2024 21:51 24204 py3-daiquiri-3.2.5.1-r1.apk 15-Apr-2024 21:51 13931 py3-daiquiri-pyc-3.2.5.1-r1.apk 15-Apr-2024 21:51 17812 py3-dataclasses-json-0.6.4-r1.apk 15-Apr-2024 21:51 27401 py3-dataclasses-json-pyc-0.6.4-r1.apk 15-Apr-2024 21:51 35386 py3-dataclasses-serialization-1.3.1-r3.apk 15-Apr-2024 21:51 10955 py3-dataclasses-serialization-pyc-1.3.1-r3.apk 15-Apr-2024 21:51 14351 py3-dateparser-1.2.0-r1.apk 15-Apr-2024 21:51 209340 py3-dateparser-pyc-1.2.0-r1.apk 15-Apr-2024 21:51 348883 py3-daterangestr-0.0.3-r8.apk 15-Apr-2024 21:51 4192 py3-daterangestr-pyc-0.0.3-r8.apk 15-Apr-2024 21:51 4059 py3-dep-logic-0.2.0-r1.apk 15-Apr-2024 21:51 22587 py3-dep-logic-pyc-0.2.0-r1.apk 15-Apr-2024 21:51 39375 py3-dexml-0.5.1-r9.apk 15-Apr-2024 21:51 22477 py3-dexml-pyc-0.5.1-r9.apk 15-Apr-2024 21:51 38122 py3-dict2xml-1.7.5-r2.apk 15-Apr-2024 21:51 9021 py3-dict2xml-pyc-1.7.5-r2.apk 15-Apr-2024 21:51 7771 py3-discid-1.2.0-r5.apk 15-Apr-2024 21:51 9603 py3-discid-pyc-1.2.0-r5.apk 15-Apr-2024 21:51 13138 py3-discogs-client-2.7-r2.apk 15-Apr-2024 21:51 16223 py3-discogs-client-pyc-2.7-r2.apk 15-Apr-2024 21:51 32979 py3-diskcache-5.6.3-r1.apk 15-Apr-2024 21:51 42346 py3-diskcache-pyc-5.6.3-r1.apk 15-Apr-2024 21:51 68488 py3-distorm3-3.5.2-r5.apk 15-Apr-2024 21:51 46784 py3-distorm3-pyc-3.5.2-r5.apk 15-Apr-2024 21:51 49387 py3-django-compress-staticfiles-1.0.1_beta0-r4.apk 15-Apr-2024 21:51 14161 py3-django-compress-staticfiles-pyc-1.0.1_beta0..> 15-Apr-2024 21:51 15048 py3-django-debug-toolbar-4.3-r1.apk 15-Apr-2024 21:51 146771 py3-django-debug-toolbar-pyc-4.3-r1.apk 15-Apr-2024 21:51 81746 py3-django-js-asset-2.2-r2.apk 15-Apr-2024 21:51 5683 py3-django-js-asset-pyc-2.2-r2.apk 15-Apr-2024 21:51 4042 py3-django-mptt-0.16-r2.apk 15-Apr-2024 21:51 83695 py3-django-mptt-pyc-0.16-r2.apk 15-Apr-2024 21:51 73750 py3-django-suit-0.2.28-r7.apk 15-Apr-2024 21:51 362418 py3-django-suit-pyc-0.2.28-r7.apk 15-Apr-2024 21:51 33037 py3-django-taggit-serializer-0.1.7-r8.apk 15-Apr-2024 21:51 3855 py3-django-taggit-serializer-pyc-0.1.7-r8.apk 15-Apr-2024 21:51 4809 py3-django-timezone-field-6.1.0-r2.apk 15-Apr-2024 21:51 11601 py3-django-timezone-field-pyc-6.1.0-r2.apk 15-Apr-2024 21:51 10943 py3-dkimpy-1.1.6-r0.apk 24-Apr-2024 21:06 33440 py3-dkimpy-doc-1.1.6-r0.apk 24-Apr-2024 21:06 12071 py3-dkimpy-pyc-1.1.6-r0.apk 24-Apr-2024 21:06 49347 py3-dnslib-0.9.24-r1.apk 15-Apr-2024 21:51 52362 py3-dnslib-pyc-0.9.24-r1.apk 15-Apr-2024 21:51 110580 py3-docformatter-1.7.5-r3.apk 15-Apr-2024 21:51 25364 py3-docformatter-pyc-1.7.5-r3.apk 15-Apr-2024 21:51 36685 py3-dogpile.cache-1.2.2-r1.apk 15-Apr-2024 21:51 47096 py3-dogpile.cache-pyc-1.2.2-r1.apk 15-Apr-2024 21:51 79048 py3-doit-0.36.0-r4.apk 15-Apr-2024 21:51 77054 py3-doit-pyc-0.36.0-r4.apk 15-Apr-2024 21:51 136638 py3-dominate-2.9.1-r1.apk 15-Apr-2024 21:51 25080 py3-dominate-pyc-2.9.1-r1.apk 15-Apr-2024 21:51 34276 py3-dotty-dict-1.3.1-r3.apk 15-Apr-2024 21:51 7421 py3-dotty-dict-pyc-1.3.1-r3.apk 15-Apr-2024 21:51 8641 py3-downloader-cli-0.3.4-r1.apk 15-Apr-2024 21:51 11514 py3-downloader-cli-pyc-0.3.4-r1.apk 15-Apr-2024 21:51 14340 py3-dpath-2.1.6-r1.apk 15-Apr-2024 21:51 15888 py3-dpath-pyc-2.1.6-r1.apk 15-Apr-2024 21:51 18029 py3-drf-yasg-1.21.7-r1.apk 15-Apr-2024 21:51 4245083 py3-drf-yasg-pyc-1.21.7-r1.apk 15-Apr-2024 21:51 99559 py3-dt-schema-2024.04-r0.apk 19-Apr-2024 02:47 80063 py3-dt-schema-pyc-2024.04-r0.apk 19-Apr-2024 02:47 48081 py3-dunamai-1.21.0-r0.apk 30-Apr-2024 18:19 26644 py3-dunamai-pyc-1.21.0-r0.apk 30-Apr-2024 18:19 42947 py3-duniterpy-1.1.1-r3.apk 15-Apr-2024 21:51 226369 py3-dweepy-0.3.0-r7.apk 15-Apr-2024 21:51 9041 py3-dweepy-pyc-0.3.0-r7.apk 15-Apr-2024 21:51 6142 py3-ecos-2.0.11-r3.apk 15-Apr-2024 21:51 15664 py3-ecos-pyc-2.0.11-r3.apk 15-Apr-2024 21:51 3470 py3-editdistance-s-1.0.0-r5.apk 15-Apr-2024 21:51 14589 py3-editdistance-s-pyc-1.0.0-r5.apk 15-Apr-2024 21:51 1826 py3-empy-3.3.4-r6.apk 15-Apr-2024 21:51 30434 py3-empy-pyc-3.3.4-r6.apk 15-Apr-2024 21:51 59511 py3-enzyme-0.4.1-r4.apk 15-Apr-2024 21:51 49388 py3-enzyme-pyc-0.4.1-r4.apk 15-Apr-2024 21:51 30433 py3-eradicate-2.3.0-r1.apk 15-Apr-2024 21:51 7459 py3-eradicate-doc-2.3.0-r1.apk 15-Apr-2024 21:51 2338 py3-eradicate-pyc-2.3.0-r1.apk 15-Apr-2024 21:51 8321 py3-euclid3-0.01-r7.apk 15-Apr-2024 21:51 14033 py3-euclid3-pyc-0.01-r7.apk 15-Apr-2024 21:51 33110 py3-eventlet-0.36.1-r0.apk 14-Apr-2024 20:11 341961 py3-eventlet-pyc-0.36.1-r0.apk 14-Apr-2024 20:11 343747 py3-evohome-client-0.3.7-r3.apk 15-Apr-2024 21:51 14604 py3-evohome-client-pyc-0.3.7-r3.apk 15-Apr-2024 21:51 27453 py3-fastavro-1.9.4-r1.apk 15-Apr-2024 21:51 421289 py3-fastavro-pyc-1.9.4-r1.apk 15-Apr-2024 21:51 84140 py3-fastdiff-0.3.0-r4.apk 15-Apr-2024 21:51 38089 py3-fastdiff-pyc-0.3.0-r4.apk 15-Apr-2024 21:51 4054 py3-feedgen-1.0.0-r1.apk 15-Apr-2024 21:51 40932 py3-feedgen-pyc-1.0.0-r1.apk 15-Apr-2024 21:51 62904 py3-feedgenerator-2.1.0-r1.apk 15-Apr-2024 21:51 16885 py3-feedgenerator-pyc-2.1.0-r1.apk 15-Apr-2024 21:51 27145 py3-ffmpeg-0.2.0-r3.apk 15-Apr-2024 21:51 19776 py3-ffmpeg-pyc-0.2.0-r3.apk 15-Apr-2024 21:51 33315 py3-findpython-0.6.1-r0.apk 25-Apr-2024 23:13 18274 py3-findpython-pyc-0.6.1-r0.apk 25-Apr-2024 23:13 30900 py3-firmata-1.0.3-r9.apk 15-Apr-2024 21:51 13300 py3-firmata-pyc-1.0.3-r9.apk 15-Apr-2024 21:51 21139 py3-flake8-blind-except-0.2.1-r4.apk 15-Apr-2024 21:51 5063 py3-flake8-blind-except-pyc-0.2.1-r4.apk 15-Apr-2024 21:51 2388 py3-flake8-builtins-2.1.0-r3.apk 15-Apr-2024 21:51 14091 py3-flake8-builtins-pyc-2.1.0-r3.apk 15-Apr-2024 21:51 6904 py3-flake8-copyright-0.2.4-r3.apk 15-Apr-2024 21:51 18417 py3-flake8-copyright-pyc-0.2.4-r3.apk 15-Apr-2024 21:51 3152 py3-flake8-debugger-4.1.2-r4.apk 15-Apr-2024 21:51 6119 py3-flake8-debugger-pyc-4.1.2-r4.apk 15-Apr-2024 21:51 5842 py3-flake8-import-order-0.18.2-r4.apk 15-Apr-2024 21:51 15512 py3-flake8-import-order-pyc-0.18.2-r4.apk 15-Apr-2024 21:51 16909 py3-flake8-isort-6.1.1-r1.apk 15-Apr-2024 21:51 18365 py3-flake8-isort-pyc-6.1.1-r1.apk 15-Apr-2024 21:51 5233 py3-flake8-polyfill-1.0.2-r4.apk 15-Apr-2024 21:51 5808 py3-flake8-polyfill-pyc-1.0.2-r4.apk 15-Apr-2024 21:51 5584 py3-flake8-print-5.0.0-r5.apk 15-Apr-2024 21:51 6615 py3-flake8-print-pyc-5.0.0-r5.apk 15-Apr-2024 21:51 4251 py3-flake8-snippets-0.2-r8.apk 15-Apr-2024 21:51 5185 py3-flake8-snippets-pyc-0.2-r8.apk 15-Apr-2024 21:51 3495 py3-flake8-todo-0.7-r7.apk 15-Apr-2024 21:51 3416 py3-flake8-todo-pyc-0.7-r7.apk 15-Apr-2024 21:51 2017 py3-flask-accept-0.0.6-r1.apk 15-Apr-2024 21:51 4842 py3-flask-accept-pyc-0.0.6-r1.apk 15-Apr-2024 21:51 3524 py3-flask-admin-1.6.1-r3.apk 15-Apr-2024 21:51 6838550 py3-flask-admin-pyc-1.6.1-r3.apk 15-Apr-2024 21:51 366629 py3-flask-autorouter-0.2.2-r3.apk 15-Apr-2024 21:51 4941 py3-flask-autorouter-pyc-0.2.2-r3.apk 15-Apr-2024 21:51 4803 py3-flask-basicauth-0.2.0-r9.apk 15-Apr-2024 21:51 5200 py3-flask-basicauth-pyc-0.2.0-r9.apk 15-Apr-2024 21:51 3908 py3-flask-bcrypt-1.0.1-r5.apk 15-Apr-2024 21:51 7049 py3-flask-bcrypt-pyc-1.0.1-r5.apk 15-Apr-2024 21:51 5676 py3-flask-bootstrap-3.3.7.1-r8.apk 15-Apr-2024 21:51 460299 py3-flask-bootstrap-pyc-3.3.7.1-r8.apk 15-Apr-2024 21:51 10923 py3-flask-cache-0.13.1-r9.apk 15-Apr-2024 21:51 12814 py3-flask-cache-pyc-0.13.1-r9.apk 15-Apr-2024 21:51 18454 py3-flask-cdn-1.5.3-r7.apk 15-Apr-2024 21:51 3814 py3-flask-cdn-pyc-1.5.3-r7.apk 15-Apr-2024 21:51 3942 py3-flask-components-0.1.1-r9.apk 15-Apr-2024 21:51 3757 py3-flask-components-pyc-0.1.1-r9.apk 15-Apr-2024 21:51 3119 py3-flask-dbconfig-0.3.12-r8.apk 15-Apr-2024 21:51 87478 py3-flask-dbconfig-pyc-0.3.12-r8.apk 15-Apr-2024 21:51 6139 py3-flask-flatpages-0.8.2-r2.apk 15-Apr-2024 21:51 10784 py3-flask-flatpages-pyc-0.8.2-r2.apk 15-Apr-2024 21:51 13453 py3-flask-gzip-0.2-r8.apk 15-Apr-2024 21:51 2992 py3-flask-gzip-pyc-0.2-r8.apk 15-Apr-2024 21:51 2630 py3-flask-headers-1.0-r9.apk 15-Apr-2024 21:51 3017 py3-flask-headers-pyc-1.0-r9.apk 15-Apr-2024 21:51 2247 py3-flask-httpauth-4.8.0-r2.apk 15-Apr-2024 21:51 7909 py3-flask-httpauth-pyc-4.8.0-r2.apk 15-Apr-2024 21:51 10580 py3-flask-json-schema-0.0.5-r4.apk 15-Apr-2024 21:51 3929 py3-flask-json-schema-pyc-0.0.5-r4.apk 15-Apr-2024 21:51 3256 py3-flask-limiter-3.6.0-r0.apk 22-Apr-2024 08:28 26546 py3-flask-limiter-pyc-3.6.0-r0.apk 22-Apr-2024 08:28 48386 py3-flask-loopback-1.4.7-r7.apk 15-Apr-2024 21:51 5438 py3-flask-loopback-pyc-1.4.7-r7.apk 15-Apr-2024 21:51 7848 py3-flask-mailman-1.0.0-r1.apk 15-Apr-2024 21:51 16418 py3-flask-mailman-pyc-1.0.0-r1.apk 15-Apr-2024 21:51 25730 py3-flask-markdown-0.3-r8.apk 15-Apr-2024 21:51 5485 py3-flask-markdown-pyc-0.3-r8.apk 15-Apr-2024 21:51 3688 py3-flask-migrate-4.0.5-r1.apk 15-Apr-2024 21:51 13704 py3-flask-migrate-pyc-4.0.5-r1.apk 15-Apr-2024 21:51 18396 py3-flask-paginate-0.8.1-r6.apk 15-Apr-2024 21:51 8144 py3-flask-paginate-pyc-0.8.1-r6.apk 15-Apr-2024 21:51 11196 py3-flask-peewee-3.0.4-r6.apk 15-Apr-2024 21:51 175601 py3-flask-peewee-pyc-3.0.4-r6.apk 15-Apr-2024 21:51 97475 py3-flask-principal-0.4.0-r8.apk 15-Apr-2024 21:51 7492 py3-flask-principal-pyc-0.4.0-r8.apk 15-Apr-2024 21:51 9260 py3-flask-qrcode-3.1.0-r4.apk 15-Apr-2024 21:51 18450 py3-flask-qrcode-pyc-3.1.0-r4.apk 15-Apr-2024 21:51 6078 py3-flask-restaction-0.25.3-r8.apk 15-Apr-2024 21:51 117337 py3-flask-restaction-pyc-0.25.3-r8.apk 15-Apr-2024 21:51 20022 py3-flask-restless-0.17.0-r9.apk 15-Apr-2024 21:51 41188 py3-flask-restless-pyc-0.17.0-r9.apk 15-Apr-2024 21:51 60214 py3-flask-security-5.4.3-r1.apk 15-Apr-2024 21:51 273218 py3-flask-security-pyc-5.4.3-r1.apk 15-Apr-2024 21:51 215514 py3-flask-themer-2.0.0-r1.apk 15-Apr-2024 21:51 6933 py3-flask-themer-pyc-2.0.0-r1.apk 15-Apr-2024 21:51 6894 py3-forbiddenfruit-0.1.4-r2.apk 15-Apr-2024 21:51 8912 py3-forbiddenfruit-pyc-0.1.4-r2.apk 15-Apr-2024 21:51 9624 py3-fpdf-1.7.2-r5.apk 15-Apr-2024 21:51 40406 py3-fpdf-pyc-1.7.2-r5.apk 15-Apr-2024 21:51 91052 py3-freetype-py-2.4.0-r1.apk 15-Apr-2024 21:51 157796 py3-funcparserlib-1.0.1-r4.apk 15-Apr-2024 21:51 16754 py3-funcparserlib-pyc-1.0.1-r4.apk 15-Apr-2024 21:51 18853 py3-furl-2.1.3-r2.apk 22-Apr-2023 12:56 20195 py3-furl-pyc-2.1.3-r2.apk 22-Apr-2023 12:56 34471 py3-fuzzywuzzy-0.18.0-r6.apk 13-Apr-2024 17:05 11284 py3-fuzzywuzzy-pyc-0.18.0-r6.apk 13-Apr-2024 17:05 14778 py3-gdcm-3.0.23-r2.apk 15-Apr-2024 21:51 694826 py3-geoip-1.3.2-r3.apk 15-Apr-2024 21:51 20745 py3-gevent-websocket-0.10.1-r7.apk 15-Apr-2024 21:51 18916 py3-gevent-websocket-pyc-0.10.1-r7.apk 15-Apr-2024 21:51 30860 py3-git-versioner-7.1-r1.apk 15-Apr-2024 21:51 11985 py3-git-versioner-pyc-7.1-r1.apk 15-Apr-2024 21:51 13573 py3-github3-4.0.1-r1.apk 15-Apr-2024 21:51 131078 py3-github3-pyc-4.0.1-r1.apk 15-Apr-2024 21:51 232158 py3-glob2-0.7-r5.apk 24-Apr-2023 09:29 9008 py3-glob2-pyc-0.7-r5.apk 24-Apr-2023 09:29 13597 py3-gls-1.3.1-r1.apk 15-Apr-2024 21:51 47627 py3-gls-pyc-1.3.1-r1.apk 15-Apr-2024 21:51 85887 py3-google-trans-new-1.1.9-r2.apk 15-Apr-2024 21:51 9185 py3-google-trans-new-pyc-1.1.9-r2.apk 15-Apr-2024 21:51 10570 py3-grequests-0.7.0-r1.apk 15-Apr-2024 21:51 5141 py3-grequests-pyc-0.7.0-r1.apk 15-Apr-2024 21:51 5753 py3-halo-0.0.31-r4.apk 15-Apr-2024 21:51 10384 py3-halo-pyc-0.0.31-r4.apk 15-Apr-2024 21:51 14013 py3-hatch-openzim-0.2.0-r0.apk 18-Apr-2024 13:59 24835 py3-hatch-openzim-bootstrap-0.1.0-r0.apk 18-Apr-2024 13:59 24493 py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk 18-Apr-2024 13:59 12725 py3-hatch-openzim-pyc-0.2.0-r0.apk 18-Apr-2024 13:59 13041 py3-helper-2.5.0-r4.apk 15-Apr-2024 21:51 18206 py3-helper-pyc-2.5.0-r4.apk 15-Apr-2024 21:51 28599 py3-hfst-3.16.0-r2.apk 15-Apr-2024 21:51 379686 py3-hg-git-1.1.1-r1.apk 15-Apr-2024 21:51 71514 py3-hg-git-pyc-1.1.1-r1.apk 15-Apr-2024 21:51 109091 py3-highctidh-1.0.2024050100-r0.apk 03-May-2024 07:56 1356935 py3-highctidh-pyc-1.0.2024050100-r0.apk 03-May-2024 07:56 11686 py3-hiplot-0.1.33-r1.apk 15-Apr-2024 21:51 107011 py3-hiplot-pyc-0.1.33-r1.apk 15-Apr-2024 21:51 55145 py3-html5-parser-0.4.12-r1.apk 15-Apr-2024 21:51 176714 py3-html5-parser-pyc-0.4.12-r1.apk 15-Apr-2024 21:51 22541 py3-hurry.filesize-0.9-r7.apk 15-Apr-2024 21:51 4243 py3-hurry.filesize-pyc-0.9-r7.apk 15-Apr-2024 21:51 3088 py3-i18naddress-3.1.0-r2.apk 15-Apr-2024 21:51 755056 py3-i18naddress-pyc-3.1.0-r2.apk 15-Apr-2024 21:51 13458 py3-igraph-0.11.4-r1.apk 15-Apr-2024 21:51 414428 py3-igraph-dev-0.11.4-r1.apk 15-Apr-2024 21:51 2507 py3-igraph-pyc-0.11.4-r1.apk 15-Apr-2024 21:51 381601 py3-imageio-ffmpeg-0.4.9-r0.apk 05-Oct-2023 22:34 16644 py3-imageio-ffmpeg-pyc-0.4.9-r0.apk 05-Oct-2023 22:34 21470 py3-imdbpy-2021.4.18-r4.apk 15-Apr-2024 21:51 224538 py3-imdbpy-pyc-2021.4.18-r4.apk 15-Apr-2024 21:51 248150 py3-incoming-0.3.1-r7.apk 15-Apr-2024 21:51 12254 py3-incoming-pyc-0.3.1-r7.apk 15-Apr-2024 21:51 20179 py3-infinity-1.5-r5.apk 15-Apr-2024 21:51 3276 py3-infinity-pyc-1.5-r5.apk 15-Apr-2024 21:51 3582 py3-iniparse-0.5-r6.apk 15-Apr-2024 21:51 13572 py3-iniparse-doc-0.5-r6.apk 15-Apr-2024 21:51 10349 py3-iniparse-pyc-0.5-r6.apk 15-Apr-2024 21:51 25051 py3-intervals-0.9.2-r4.apk 15-Apr-2024 21:51 8361 py3-intervals-pyc-0.9.2-r4.apk 15-Apr-2024 21:51 15294 py3-invoke-2.2.0-r2.apk 15-Apr-2024 21:51 150220 py3-invoke-pyc-2.2.0-r2.apk 15-Apr-2024 21:51 236645 py3-iso639-lang-2.2.3-r0.apk 18-Apr-2024 14:00 275174 py3-iso639-lang-pyc-2.2.3-r0.apk 18-Apr-2024 14:00 9666 py3-itemadapter-0.8.0-r2.apk 15-Apr-2024 21:51 10281 py3-itemadapter-pyc-0.8.0-r2.apk 15-Apr-2024 21:51 12781 py3-itemloaders-1.2.0-r0.apk 25-Apr-2024 01:28 11832 py3-itemloaders-pyc-1.2.0-r0.apk 25-Apr-2024 01:28 15098 py3-itunespy-1.6-r3.apk 15-Apr-2024 21:51 8863 py3-itunespy-pyc-1.6-r3.apk 15-Apr-2024 21:51 14879 py3-janus-1.0.0-r3.apk 15-Apr-2024 15:00 8085 py3-janus-pyc-1.0.0-r3.apk 15-Apr-2024 15:00 13356 py3-jsonschema417-4.17.3-r1.apk 15-Apr-2024 21:51 74810 py3-jsonschema417-pyc-4.17.3-r1.apk 15-Apr-2024 21:51 127984 py3-junit-xml-1.9-r2.apk 15-Apr-2024 21:51 7384 py3-junit-xml-pyc-1.9-r2.apk 15-Apr-2024 21:51 9287 py3-kazoo-0_git20211202-r3.apk 15-Apr-2024 21:51 122137 py3-kazoo-pyc-0_git20211202-r3.apk 15-Apr-2024 21:51 250133 py3-keepalive-0.5-r5.apk 14-Apr-2024 20:33 8920 py3-keepalive-doc-0.5-r5.apk 14-Apr-2024 20:33 1770 py3-keepalive-pyc-0.5-r5.apk 14-Apr-2024 20:33 13094 py3-kerberos-1.3.1-r4.apk 02-Mar-2023 00:21 17989 py3-kikit-1.5.1-r0.apk 16-Apr-2024 16:22 241249 py3-kikit-pyc-1.5.1-r0.apk 16-Apr-2024 16:22 274441 py3-landlock-1.0.0_pre4-r2.apk 15-Apr-2024 21:51 8345 py3-landlock-pyc-1.0.0_pre4-r2.apk 15-Apr-2024 21:51 9486 py3-langcodes-3.3.0-r2.apk 15-Apr-2024 21:51 177438 py3-langcodes-pyc-3.3.0-r2.apk 15-Apr-2024 21:51 112099 py3-language-data-1.1-r1.apk 15-Apr-2024 21:51 4754644 py3-language-data-pyc-1.1-r1.apk 15-Apr-2024 21:51 2801347 py3-latex2mathml-3.77.0-r1.apk 15-Apr-2024 21:51 73736 py3-latex2mathml-pyc-3.77.0-r1.apk 15-Apr-2024 21:51 35518 py3-levenshtein-0.25.1-r2.apk 13-Apr-2024 17:05 174695 py3-levenshtein-pyc-0.25.1-r2.apk 13-Apr-2024 17:05 9397 py3-lib_users-0.15-r3.apk 15-Apr-2024 21:51 8189 py3-lib_users-pyc-0.15-r3.apk 15-Apr-2024 21:51 9488 py3-libacl-0.7.0-r1.apk 15-Apr-2024 21:51 16934 py3-libguestfs-1.52.0-r1.apk 15-Apr-2024 21:51 190272 py3-libiio-0.25-r1.apk 15-Apr-2024 21:51 12627 py3-liblarch-3.2.0-r4.apk 15-Apr-2024 21:51 27148 py3-liblarch-pyc-3.2.0-r4.apk 15-Apr-2024 21:51 51003 py3-libnacl-2.1.0-r1.apk 15-Apr-2024 21:51 20536 py3-libnacl-pyc-2.1.0-r1.apk 15-Apr-2024 21:51 30812 py3-librtmp-0.3.0-r6.apk 15-Apr-2024 21:51 36347 py3-librtmp-pyc-0.3.0-r6.apk 15-Apr-2024 21:51 24894 py3-limits-3.11.0-r0.apk 22-Apr-2024 08:24 33063 py3-limits-pyc-3.11.0-r0.apk 22-Apr-2024 08:24 72687 py3-lingua-franca-0.4.8_alpha3-r1.apk 15-Apr-2024 21:51 366177 py3-lingua-franca-pyc-0.4.8_alpha3-r1.apk 15-Apr-2024 21:51 446990 py3-linkify-it-py-2.0.3-r1.apk 15-Apr-2024 21:51 21579 py3-linkify-it-py-pyc-2.0.3-r1.apk 15-Apr-2024 21:51 23721 py3-litex-hub-modules-2023.12-r4.apk 15-Apr-2024 21:51 1418 py3-litex-hub-modules-pyc-2023.12-r4.apk 15-Apr-2024 21:51 1160188 py3-litex-hub-pythondata-cpu-blackparrot-2023.1..> 15-Apr-2024 21:51 5823229 py3-litex-hub-pythondata-cpu-cv32e40p-2023.12-r..> 15-Apr-2024 21:51 2023929 py3-litex-hub-pythondata-cpu-cv32e41p-2023.12-r..> 15-Apr-2024 21:51 956326 py3-litex-hub-pythondata-cpu-cva5-2023.12-r4.apk 15-Apr-2024 21:51 511789 py3-litex-hub-pythondata-cpu-cva6-2023.12-r4.apk 15-Apr-2024 21:51 10641780 py3-litex-hub-pythondata-cpu-ibex-2023.12-r4.apk 15-Apr-2024 21:51 1890253 py3-litex-hub-pythondata-cpu-lm32-2023.12-r4.apk 15-Apr-2024 21:51 110138 py3-litex-hub-pythondata-cpu-marocchino-2023.12..> 15-Apr-2024 21:51 212577 py3-litex-hub-pythondata-cpu-microwatt-2023.12-..> 15-Apr-2024 21:51 20401690 py3-litex-hub-pythondata-cpu-minerva-2023.12-r4..> 15-Apr-2024 21:51 45663 py3-litex-hub-pythondata-cpu-mor1kx-2023.12-r4.apk 15-Apr-2024 21:51 183202 py3-litex-hub-pythondata-cpu-naxriscv-2023.12-r..> 15-Apr-2024 21:51 7493 py3-litex-hub-pythondata-cpu-picorv32-2023.12-r..> 15-Apr-2024 21:51 233212 py3-litex-hub-pythondata-cpu-rocket-2023.12-r4.apk 15-Apr-2024 21:51 11195657 py3-litex-hub-pythondata-cpu-serv-2023.12-r4.apk 15-Apr-2024 21:51 66306 py3-litex-hub-pythondata-cpu-vexriscv-2023.12-r..> 15-Apr-2024 21:51 356516 py3-litex-hub-pythondata-cpu-vexriscv_smp-2023...> 15-Apr-2024 21:51 2520856 py3-litex-hub-pythondata-misc-tapcfg-2023.12-r4..> 15-Apr-2024 21:51 49961 py3-litex-hub-pythondata-software-compiler_rt-2..> 15-Apr-2024 21:51 2303382 py3-litex-hub-pythondata-software-picolibc-2023..> 15-Apr-2024 21:51 4913980 py3-litex-hub-valentyusb-2023.12-r4.apk 15-Apr-2024 21:51 113936 py3-livestream-2.0.0-r2.apk 17-Apr-2024 02:55 785256 py3-livestream-pyc-2.0.0-r2.apk 17-Apr-2024 02:55 31083 py3-log-symbols-0.0.14-r4.apk 15-Apr-2024 21:51 3140 py3-log-symbols-pyc-0.0.14-r4.apk 15-Apr-2024 21:51 2896 py3-lsp-black-2.0.0-r1.apk 15-Apr-2024 21:51 7417 py3-lsp-black-pyc-2.0.0-r1.apk 15-Apr-2024 21:51 6259 py3-lsp-mypy-0.6.8-r1.apk 15-Apr-2024 21:51 11562 py3-lsp-mypy-pyc-0.6.8-r1.apk 15-Apr-2024 21:51 11196 py3-lsprotocol-2023.0.1-r1.apk 15-Apr-2024 21:51 70895 py3-lsprotocol-pyc-2023.0.1-r1.apk 15-Apr-2024 21:51 109423 py3-luhn-0.2.0-r8.apk 15-Apr-2024 21:51 2801 py3-luhn-pyc-0.2.0-r8.apk 15-Apr-2024 21:51 2449 py3-lunr-0.6.2-r3.apk 15-Apr-2024 21:51 31160 py3-lunr-pyc-0.6.2-r3.apk 15-Apr-2024 21:51 51579 py3-ly-0.9.8-r1.apk 15-Apr-2024 21:51 191458 py3-ly-doc-0.9.8-r1.apk 15-Apr-2024 21:51 8019 py3-ly-pyc-0.9.8-r1.apk 15-Apr-2024 21:51 363347 py3-lzo-1.16-r1.apk 15-Apr-2024 21:51 17403 py3-lzo-pyc-1.16-r1.apk 15-Apr-2024 21:51 1750 py3-m2crypto-0.41.0-r1.apk 15-Apr-2024 21:51 198195 py3-m2crypto-pyc-0.41.0-r1.apk 15-Apr-2024 21:51 125316 py3-mando-0.7.1-r2.apk 15-Apr-2024 21:51 20869 py3-mando-doc-0.7.1-r2.apk 15-Apr-2024 21:51 4051 py3-mando-pyc-0.7.1-r2.apk 15-Apr-2024 21:51 36685 py3-manuel-1.12.4-r2.apk 15-Apr-2024 21:51 34669 py3-manuel-pyc-1.12.4-r2.apk 15-Apr-2024 21:51 26476 py3-mapbox-earcut-1.0.1-r0.apk 03-Dec-2022 05:03 62873 py3-marisa-trie-1.1.0-r0.apk 15-Apr-2024 21:51 141409 py3-markdown2-2.4.13-r1.apk 15-Apr-2024 21:51 42316 py3-markdown2-pyc-2.4.13-r1.apk 15-Apr-2024 21:51 63444 py3-marshmallow-3.21.1-r1.apk 15-Apr-2024 21:51 47852 py3-marshmallow-enum-1.5.1-r7.apk 15-Apr-2024 21:51 5153 py3-marshmallow-enum-pyc-1.5.1-r7.apk 15-Apr-2024 21:51 4293 py3-marshmallow-pyc-3.21.1-r1.apk 15-Apr-2024 21:51 84540 py3-mbedtls-2.10.1-r1.apk 28-Apr-2024 19:13 921300 py3-mbedtls-pyc-2.10.1-r1.apk 28-Apr-2024 19:13 27749 py3-memory-profiler-0.61-r1.apk 28-Mar-2024 20:31 32303 py3-memory-profiler-pyc-0.61-r1.apk 28-Mar-2024 20:31 50605 py3-microdata-0.8.0-r0.apk 28-Apr-2024 19:35 6127 py3-microdata-pyc-0.8.0-r0.apk 28-Apr-2024 19:35 6418 py3-migen-0.9.2-r2.apk 15-Apr-2024 21:51 145771 py3-migen-pyc-0.9.2-r2.apk 15-Apr-2024 21:51 302477 py3-milc-1.8.0-r1.apk 15-Apr-2024 21:51 25218 py3-milc-pyc-1.8.0-r1.apk 15-Apr-2024 21:51 38351 py3-minidb-2.0.7-r3.apk 15-Apr-2024 21:51 9824 py3-minidb-pyc-2.0.7-r3.apk 15-Apr-2024 21:51 23860 py3-minidump-0.0.23-r1.apk 15-Apr-2024 21:51 64692 py3-minidump-pyc-0.0.23-r1.apk 15-Apr-2024 21:51 129474 py3-minikerberos-0.4.4-r1.apk 15-Apr-2024 21:51 131466 py3-minikerberos-pyc-0.4.4-r1.apk 15-Apr-2024 21:51 269632 py3-minio-7.2.0-r1.apk 15-Apr-2024 21:51 69791 py3-minio-pyc-7.2.0-r1.apk 15-Apr-2024 21:51 140497 py3-mistletoe-1.2.1-r1.apk 15-Apr-2024 21:51 42714 py3-mistletoe-pyc-1.2.1-r1.apk 15-Apr-2024 21:51 93526 py3-modbus-tk-1.1.1-r3.apk 15-Apr-2024 21:51 24538 py3-modbus-tk-pyc-1.1.1-r3.apk 15-Apr-2024 21:51 49414 py3-mopidy-jellyfin-1.0.4-r3.apk 15-Apr-2024 21:51 20411 py3-mopidy-jellyfin-pyc-1.0.4-r3.apk 15-Apr-2024 21:51 37290 py3-mopidy-local-3.2.1-r3.apk 15-Apr-2024 21:51 22820 py3-mopidy-local-pyc-3.2.1-r3.apk 15-Apr-2024 21:51 34762 py3-mopidy-mpd-3.3.0-r4.apk 15-Apr-2024 21:51 46853 py3-mopidy-mpd-pyc-3.3.0-r4.apk 15-Apr-2024 21:51 75324 py3-mopidy-tidal-0.3.2-r5.apk 15-Apr-2024 21:51 20536 py3-mopidy-tidal-pyc-0.3.2-r5.apk 15-Apr-2024 21:51 36236 py3-more-properties-1.1.1-r3.apk 15-Apr-2024 21:51 7349 py3-more-properties-pyc-1.1.1-r3.apk 15-Apr-2024 21:51 8155 py3-mpd2-3.1.1-r1.apk 15-Apr-2024 21:51 30746 py3-mpd2-pyc-3.1.1-r1.apk 15-Apr-2024 21:51 58405 py3-msldap-0.5.10-r1.apk 15-Apr-2024 21:51 140669 py3-msldap-pyc-0.5.10-r1.apk 15-Apr-2024 21:51 319343 py3-nanoid-2.0.0-r2.apk 15-Apr-2024 21:51 4717 py3-nanoid-pyc-2.0.0-r2.apk 15-Apr-2024 21:51 3710 py3-natpmp-1.3.2-r1.apk 15-Apr-2024 21:51 9372 py3-natpmp-pyc-1.3.2-r1.apk 15-Apr-2024 21:51 9832 py3-ncclient-0.6.13-r4.apk 15-Apr-2024 21:51 63637 py3-ncclient-pyc-0.6.13-r4.apk 15-Apr-2024 21:51 108957 py3-netifaces2-0.0.22-r0.apk 16-Apr-2024 00:40 213544 py3-netifaces2-pyc-0.0.22-r0.apk 16-Apr-2024 00:40 9165 py3-netmiko-4.3.0-r1.apk 15-Apr-2024 21:51 167567 py3-netmiko-pyc-4.3.0-r1.apk 15-Apr-2024 21:51 328991 py3-nikola-8.3.0-r2.apk 15-Apr-2024 21:51 1221201 py3-nikola-doc-8.3.0-r2.apk 15-Apr-2024 21:51 61995 py3-nikola-pyc-8.3.0-r2.apk 15-Apr-2024 21:51 541830 py3-nmap-0.7.1-r3.apk 15-Apr-2024 21:51 20312 py3-nmap-pyc-0.7.1-r3.apk 15-Apr-2024 21:51 25855 py3-nose-of-yeti-2.4.8-r1.apk 15-Apr-2024 21:51 26072 py3-nose-of-yeti-pyc-2.4.8-r1.apk 15-Apr-2024 21:51 37187 py3-nose-timer-1.0.1-r5.apk 15-Apr-2024 21:51 8345 py3-nose-timer-pyc-1.0.1-r5.apk 15-Apr-2024 21:51 9903 py3-notifymail-1.1-r7.apk 15-Apr-2024 21:51 6382 py3-notifymail-pyc-1.1-r7.apk 15-Apr-2024 21:51 5631 py3-nptyping-2.5.0-r2.apk 13-Apr-2024 17:05 20720 py3-nptyping-pyc-2.5.0-r2.apk 13-Apr-2024 17:05 32586 py3-ntplib-0.4.0-r4.apk 15-Apr-2024 21:51 6960 py3-ntplib-pyc-0.4.0-r4.apk 15-Apr-2024 21:51 8590 py3-numpy-stl-3.0.1-r2.apk 15-Apr-2024 21:51 20008 py3-numpy-stl-pyc-3.0.1-r2.apk 15-Apr-2024 21:51 27321 py3-nwdiag-3.0.0-r2.apk 15-Apr-2024 21:51 5147983 py3-nwdiag-pyc-3.0.0-r2.apk 15-Apr-2024 21:51 79505 py3-onelogin-3.1.6-r1.apk 15-Apr-2024 21:51 403123 py3-onelogin-pyc-3.1.6-r1.apk 15-Apr-2024 21:51 921183 py3-onnxruntime-1.17.1-r1.apk 15-Apr-2024 21:51 5586150 py3-onnxruntime-pyc-1.17.1-r1.apk 15-Apr-2024 21:51 1139367 py3-openapi-codec-1.3.2-r9.apk 15-Apr-2024 21:51 7568 py3-openapi-codec-pyc-1.3.2-r9.apk 15-Apr-2024 21:51 11752 py3-opendht-3.1.7-r2.apk 15-Apr-2024 21:51 151858 py3-openssh-wrapper-0.5_git20130425-r4.apk 15-Apr-2024 21:51 8180 py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk 15-Apr-2024 21:51 10412 py3-openwisp-utils-1.0.4-r2.apk 15-Apr-2024 21:51 503751 py3-openwisp-utils-pyc-1.0.4-r2.apk 15-Apr-2024 21:51 43057 py3-optuna-3.6.1-r1.apk 15-Apr-2024 21:51 298732 py3-optuna-pyc-3.6.1-r1.apk 15-Apr-2024 21:51 586044 py3-orderedmultidict-1.0.1-r6.apk 15-Apr-2024 21:51 10743 py3-orderedmultidict-pyc-1.0.1-r6.apk 15-Apr-2024 21:51 17364 py3-osqp-0.6.2-r5.apk 15-Apr-2024 21:51 110985 py3-osqp-dev-0.6.2-r5.apk 15-Apr-2024 21:51 49974 py3-osqp-pyc-0.6.2-r5.apk 15-Apr-2024 21:51 78100 py3-ovos-backend-client-0.1.0_alpha13-r1.apk 15-Apr-2024 21:51 41540 py3-ovos-backend-client-pyc-0.1.0_alpha13-r1.apk 15-Apr-2024 21:51 91821 py3-ovos-bus-client-0.0.5-r1.apk 15-Apr-2024 21:51 32292 py3-ovos-bus-client-pyc-0.0.5-r1.apk 15-Apr-2024 21:51 52468 py3-ovos-classifiers-0.0.0_alpha37-r1.apk 15-Apr-2024 21:51 86637 py3-ovos-classifiers-pyc-0.0.0_alpha37-r1.apk 15-Apr-2024 21:51 137799 py3-ovos-config-0.0.11-r1.apk 15-Apr-2024 21:51 30748 py3-ovos-config-pyc-0.0.11-r1.apk 15-Apr-2024 21:51 32831 py3-ovos-media-plugin-simple-0.0.1-r1.apk 15-Apr-2024 21:51 9975 py3-ovos-media-plugin-simple-pyc-0.0.1-r1.apk 15-Apr-2024 21:51 8190 py3-ovos-microphone-plugin-alsa-0.0.0-r1.apk 15-Apr-2024 21:51 8618 py3-ovos-microphone-plugin-alsa-pyc-0.0.0-r1.apk 15-Apr-2024 21:51 4577 py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r2.apk 15-Apr-2024 21:51 563196 py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r2.apk 15-Apr-2024 21:51 87874 py3-ovos-ocp-files-plugin-0.13.0-r1.apk 15-Apr-2024 21:51 47921 py3-ovos-ocp-files-plugin-pyc-0.13.0-r1.apk 15-Apr-2024 21:51 105942 py3-ovos-ocp-m3u-plugin-0.0.2_alpha1-r1.apk 15-Apr-2024 21:51 8040 py3-ovos-ocp-m3u-plugin-pyc-0.0.2_alpha1-r1.apk 15-Apr-2024 21:51 3245 py3-ovos-ocp-news-plugin-0.0.3-r1.apk 15-Apr-2024 21:51 11419 py3-ovos-ocp-news-plugin-pyc-0.0.3-r1.apk 15-Apr-2024 21:51 8894 py3-ovos-ocp-rss-plugin-0.0.2-r1.apk 15-Apr-2024 21:51 8279 py3-ovos-ocp-rss-plugin-pyc-0.0.2-r1.apk 15-Apr-2024 21:51 3572 py3-ovos-phal-plugin-connectivity-events-0.0.3-..> 15-Apr-2024 21:51 4822 py3-ovos-phal-plugin-connectivity-events-pyc-0...> 15-Apr-2024 21:51 4960 py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk 15-Apr-2024 21:51 4368 py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk 15-Apr-2024 21:51 4211 py3-ovos-phal-plugin-network-manager-1.1.0-r1.apk 15-Apr-2024 21:51 10913 py3-ovos-phal-plugin-network-manager-pyc-1.1.0-..> 15-Apr-2024 21:51 8777 py3-ovos-phal-plugin-oauth-0.0.2-r1.apk 15-Apr-2024 21:51 7546 py3-ovos-phal-plugin-oauth-pyc-0.0.2-r1.apk 15-Apr-2024 21:51 8074 py3-ovos-phal-plugin-system-0.0.4-r1.apk 15-Apr-2024 21:51 10489 py3-ovos-phal-plugin-system-pyc-0.0.4-r1.apk 15-Apr-2024 21:51 9054 py3-ovos-plugin-manager-0.0.24-r1.apk 15-Apr-2024 21:51 71115 py3-ovos-plugin-manager-pyc-0.0.24-r1.apk 15-Apr-2024 21:51 132302 py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk 15-Apr-2024 21:51 10060 py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk 15-Apr-2024 21:51 6665 py3-ovos-tts-plugin-mimic3-server-0.0.2_alpha4-..> 15-Apr-2024 21:51 14001 py3-ovos-tts-plugin-mimic3-server-pyc-0.0.2_alp..> 15-Apr-2024 21:51 14335 py3-ovos-utils-0.0.36-r1.apk 15-Apr-2024 21:51 116332 py3-ovos-utils-pyc-0.0.36-r1.apk 15-Apr-2024 21:51 214873 py3-ovos-workshop-0.0.13_alpha17-r1.apk 15-Apr-2024 21:51 75857 py3-ovos-workshop-pyc-0.0.13_alpha17-r1.apk 15-Apr-2024 21:51 135122 py3-owslib-0.30.0-r0.apk 28-Apr-2024 22:40 183841 py3-owslib-pyc-0.30.0-r0.apk 28-Apr-2024 22:40 396478 py3-pacparser-1.4.3-r1.apk 15-Apr-2024 21:51 405104 py3-pacparser-pyc-1.4.3-r1.apk 15-Apr-2024 21:51 3897 py3-padacioso-0.2.0-r1.apk 15-Apr-2024 21:51 9639 py3-padacioso-pyc-0.2.0-r1.apk 15-Apr-2024 21:51 7575 py3-pam-2.0.2-r2.apk 15-Apr-2024 21:51 11190 py3-pam-pyc-2.0.2-r2.apk 15-Apr-2024 21:51 13012 py3-parse-1.20.0-r1.apk 15-Apr-2024 21:51 20303 py3-parse-pyc-1.20.0-r1.apk 15-Apr-2024 21:51 18397 py3-parver-0.5-r1.apk 15-Apr-2024 14:28 15922 py3-parver-pyc-0.5-r1.apk 15-Apr-2024 14:28 26400 py3-patatt-0.6.3-r1.apk 15-Apr-2024 21:51 25160 py3-patatt-doc-0.6.3-r1.apk 15-Apr-2024 21:51 2874 py3-patatt-pyc-0.6.3-r1.apk 15-Apr-2024 21:51 31431 py3-pathvalidate-3.2.0-r1.apk 15-Apr-2024 21:51 19080 py3-pathvalidate-pyc-3.2.0-r1.apk 15-Apr-2024 21:51 32848 py3-pbkdf2-1.3-r6.apk 15-Apr-2024 21:51 5978 py3-pbkdf2-pyc-1.3-r6.apk 15-Apr-2024 21:51 7008 py3-pcbnewtransition-0.4.1-r0.apk 16-Apr-2024 16:22 7656 py3-pcbnewtransition-pyc-0.4.1-r0.apk 16-Apr-2024 16:22 9563 py3-pdal-3.2.3-r4.apk 15-Apr-2024 21:51 180606 py3-pdal-pyc-3.2.3-r4.apk 15-Apr-2024 21:51 11269 py3-pebble-5.0.7-r1.apk 15-Apr-2024 21:51 21614 py3-pebble-pyc-5.0.7-r1.apk 15-Apr-2024 21:51 43442 py3-pelican-4.9.1-r2.apk 15-Apr-2024 21:51 239063 py3-pelican-pyc-4.9.1-r2.apk 15-Apr-2024 21:51 150569 py3-pep8-naming-0.13.3-r2.apk 15-Apr-2024 21:51 8547 py3-pep8-naming-pyc-0.13.3-r2.apk 15-Apr-2024 21:51 13474 py3-phpserialize-1.3-r7.apk 15-Apr-2024 21:51 8641 py3-phpserialize-pyc-1.3-r7.apk 15-Apr-2024 21:51 10709 py3-piccata-2.0.3-r1.apk 15-Apr-2024 21:51 20299 py3-piccata-pyc-2.0.3-r1.apk 15-Apr-2024 21:51 34778 py3-pickle-secure-0.99.9-r1.apk 14-Apr-2024 17:05 7415 py3-pickle-secure-pyc-0.99.9-r1.apk 14-Apr-2024 17:05 5221 py3-pika-1.3.2-r1.apk 15-Apr-2024 21:51 146271 py3-pika-pyc-1.3.2-r1.apk 15-Apr-2024 21:52 251520 py3-pip-system-certs-4.0-r1.apk 15-Apr-2024 21:52 6890 py3-pip-system-certs-pyc-4.0-r1.apk 15-Apr-2024 21:52 4502 py3-pivy-0.6.9_alpha0-r0.apk 19-Apr-2024 00:15 2017764 py3-playsound-1.3.0-r1.apk 15-Apr-2024 21:52 6763 py3-playsound-pyc-1.3.0-r1.apk 15-Apr-2024 21:52 8482 py3-plexapi-4.15.11-r1.apk 15-Apr-2024 21:52 155348 py3-plexapi-doc-4.15.11-r1.apk 15-Apr-2024 21:52 84049 py3-plexapi-pyc-4.15.11-r1.apk 15-Apr-2024 21:52 309199 py3-pockethernet-0.7.0-r3.apk 15-Apr-2024 21:52 14779 py3-pockethernet-pyc-0.7.0-r3.apk 15-Apr-2024 21:52 25566 py3-poetry-dynamic-versioning-1.2.0-r1.apk 15-Apr-2024 21:52 18339 py3-poetry-dynamic-versioning-pyc-1.2.0-r1.apk 15-Apr-2024 21:52 22937 py3-poppler-qt5-21.3.0-r1.apk 15-Apr-2024 21:52 131300 py3-pprintpp-0.4.0-r1.apk 15-Apr-2024 21:52 13977 py3-pprintpp-pyc-0.4.0-r1.apk 15-Apr-2024 21:52 16402 py3-preggy-1.4.4-r4.apk 15-Apr-2024 21:52 16477 py3-preggy-doc-1.4.4-r4.apk 15-Apr-2024 21:52 3871 py3-preggy-pyc-1.4.4-r4.apk 15-Apr-2024 21:52 24327 py3-proglog-0.1.10-r2.apk 15-Apr-2024 21:52 7293 py3-proglog-pyc-0.1.10-r2.apk 15-Apr-2024 21:52 9890 py3-progressbar2-4.2.0-r2.apk 15-Apr-2024 21:52 28093 py3-progressbar2-pyc-4.2.0-r2.apk 15-Apr-2024 21:52 43213 py3-proplot-0.9.7-r2.apk 15-Apr-2024 21:52 136588 py3-proplot-pyc-0.9.7-r2.apk 15-Apr-2024 21:52 229970 py3-protego-0.3.0-r1.apk 15-Apr-2024 21:52 33705 py3-protego-pyc-0.3.0-r1.apk 15-Apr-2024 21:52 11715 py3-proxmoxer-2.0.1-r3.apk 15-Apr-2024 21:52 14809 py3-proxmoxer-pyc-2.0.1-r3.apk 15-Apr-2024 21:52 21832 py3-publicsuffix2-2.20191221-r5.apk 15-Apr-2024 14:28 82293 py3-publicsuffix2-pyc-2.20191221-r5.apk 15-Apr-2024 14:28 8276 py3-pure_protobuf-3.0.1-r2.apk 15-Apr-2024 21:52 21291 py3-pure_protobuf-pyc-3.0.1-r2.apk 15-Apr-2024 21:52 38283 py3-puremagic-1.21-r1.apk 15-Apr-2024 21:52 34672 py3-puremagic-pyc-1.21-r1.apk 15-Apr-2024 21:52 9694 py3-py-radix-0.10.0-r9.apk 15-Apr-2024 21:52 20701 py3-py-radix-pyc-0.10.0-r9.apk 15-Apr-2024 21:52 10638 py3-pyatem-0.5.0-r3.apk 15-Apr-2024 21:52 54344 py3-pyatem-pyc-0.5.0-r3.apk 15-Apr-2024 21:52 93744 py3-pyautogui-0.9.53-r4.apk 15-Apr-2024 21:52 33280 py3-pyautogui-pyc-0.9.53-r4.apk 15-Apr-2024 21:52 46199 py3-pybars3-0.9.7-r5.apk 15-Apr-2024 21:52 12076 py3-pybars3-pyc-0.9.7-r5.apk 15-Apr-2024 21:52 16997 py3-pycaption-2.2.4-r0.apk 25-Mar-2024 14:37 365045 py3-pycolorterm-0.2.1-r5.apk 27-Nov-2022 16:51 5998 py3-pycosat-0.6.6-r1.apk 15-Apr-2024 21:52 48041 py3-pydes-2.0.1-r4.apk 14-Apr-2024 20:33 9650 py3-pydes-doc-2.0.1-r4.apk 14-Apr-2024 20:33 3487 py3-pydes-pyc-2.0.1-r4.apk 14-Apr-2024 20:33 13245 py3-pyexmdb-1.11-r0.apk 26-Apr-2024 06:33 123341 py3-pygelbooru-0.5.0-r2.apk 26-Apr-2023 15:55 7938 py3-pygelbooru-pyc-0.5.0-r2.apk 26-Apr-2023 15:55 11580 py3-pygfm-2.0.0-r1.apk 15-Apr-2024 21:52 11126 py3-pygfm-pyc-2.0.0-r1.apk 15-Apr-2024 21:52 13273 py3-pyglm-2.7.1-r0.apk 31-Oct-2023 11:09 1333711 py3-pygpgme-0.3.1-r8.apk 15-Apr-2024 21:52 29213 py3-pygpgme-pyc-0.3.1-r8.apk 15-Apr-2024 21:52 4945 py3-pygtail-0.14.0-r3.apk 15-Apr-2024 21:52 15080 py3-pygtail-pyc-0.14.0-r3.apk 15-Apr-2024 21:52 10070 py3-pyinstrument-4.6.2-r1.apk 15-Apr-2024 21:52 81376 py3-pyinstrument-pyc-4.6.2-r1.apk 15-Apr-2024 21:52 86852 py3-pyisbn-1.3.1-r2.apk 15-Apr-2024 21:52 8542 py3-pyisbn-pyc-1.3.1-r2.apk 15-Apr-2024 21:52 9381 py3-pylru-1.2.1-r1.apk 15-Apr-2024 21:52 16701 py3-pylru-pyc-1.2.1-r1.apk 15-Apr-2024 21:52 8896 py3-pymaging-0.0.20130908-r9.apk 15-Apr-2024 21:52 16697 py3-pymaging-png-0.0.20130727-r9.apk 15-Apr-2024 21:52 34821 py3-pymaging-png-pyc-0.0.20130727-r9.apk 15-Apr-2024 21:52 52933 py3-pymaging-pyc-0.0.20130908-r9.apk 15-Apr-2024 21:52 32292 py3-pymata-2.20-r3.apk 15-Apr-2024 21:52 22480 py3-pymata-pyc-2.20-r3.apk 15-Apr-2024 21:52 29856 py3-pymata4-1.15-r3.apk 15-Apr-2024 21:52 23057 py3-pymata4-pyc-1.15-r3.apk 15-Apr-2024 21:52 31361 py3-pymeta3-0.5.1-r5.apk 15-Apr-2024 21:52 15552 py3-pymeta3-pyc-0.5.1-r5.apk 15-Apr-2024 21:52 32053 py3-pymsgbox-1.0.9-r4.apk 15-Apr-2024 21:52 7714 py3-pymsgbox-pyc-1.0.9-r4.apk 15-Apr-2024 21:52 9834 py3-pymsteams-0.2.2-r3.apk 15-Apr-2024 21:52 7640 py3-pymsteams-pyc-0.2.2-r3.apk 15-Apr-2024 21:52 6476 py3-pynest2d-5.2.2-r4.apk 22-Apr-2024 18:59 277199 py3-pyparted-3.13.0-r1.apk 15-Apr-2024 21:52 79617 py3-pyparted-pyc-3.13.0-r1.apk 15-Apr-2024 21:52 42915 py3-pypubsub-4.0.3-r0.apk 05-May-2024 05:25 53379 py3-pypubsub-doc-4.0.3-r0.apk 05-May-2024 05:25 1926 py3-pypubsub-pyc-4.0.3-r0.apk 05-May-2024 05:25 91805 py3-pyrebase-3.0.27-r4.apk 15-Apr-2024 21:52 9222 py3-pyrebase-pyc-3.0.27-r4.apk 15-Apr-2024 21:52 17736 py3-pyroma-4.2-r0.apk 15-Apr-2024 21:52 22132 py3-pyroma-pyc-4.2-r0.apk 15-Apr-2024 21:52 26309 py3-pyscreeze-0.1.29-r2.apk 15-Apr-2024 21:52 12749 py3-pyscreeze-pyc-0.1.29-r2.apk 15-Apr-2024 21:52 14308 py3-pysimplesoap-1.16.2-r6.apk 15-Apr-2024 21:52 43780 py3-pysimplesoap-pyc-1.16.2-r6.apk 15-Apr-2024 21:52 79812 py3-pysonic-1.0.1-r1.apk 15-Apr-2024 21:52 35311 py3-pysonic-pyc-1.0.1-r1.apk 15-Apr-2024 21:52 32440 py3-pyspinel-1.0.3-r1.apk 15-Apr-2024 21:52 57094 py3-pyspinel-pyc-1.0.3-r1.apk 15-Apr-2024 21:52 64288 py3-pysrt-1.1.2-r3.apk 15-Apr-2024 21:52 13643 py3-pysrt-pyc-1.1.2-r3.apk 15-Apr-2024 21:52 23307 py3-pystache-0.6.5-r1.apk 15-Apr-2024 21:52 69474 py3-pystache-pyc-0.6.5-r1.apk 15-Apr-2024 21:52 98721 py3-pytaglib-1.5.0-r3.apk 15-Apr-2024 21:52 36916 py3-pytaglib-pyc-1.5.0-r3.apk 15-Apr-2024 21:52 2800 py3-pyte-0.8.2-r1.apk 15-Apr-2024 21:52 27469 py3-pyte-pyc-0.8.2-r1.apk 15-Apr-2024 21:52 40158 py3-pytest-expect-1.1.0-r9.apk 17-Apr-2024 02:55 4807 py3-pytest-expect-pyc-1.1.0-r9.apk 17-Apr-2024 02:55 6895 py3-pytest-helpers-namespace-2021.12.29-r3.apk 15-Apr-2024 21:52 10847 py3-pytest-helpers-namespace-pyc-2021.12.29-r3.apk 15-Apr-2024 21:52 6082 py3-pytest-html-4.1.1-r1.apk 15-Apr-2024 21:52 21878 py3-pytest-html-pyc-4.1.1-r1.apk 15-Apr-2024 21:52 22008 py3-pytest-metadata-3.1.1-r0.apk 15-Apr-2024 23:47 10174 py3-pytest-metadata-pyc-3.1.1-r0.apk 15-Apr-2024 23:47 7820 py3-pytest-mypy-0.10.3-r2.apk 15-Apr-2024 21:52 8061 py3-pytest-mypy-pyc-0.10.3-r2.apk 15-Apr-2024 21:52 9544 py3-pytest-regtest-2.1.1-r1.apk 15-Apr-2024 21:52 9970 py3-pytest-regtest-pyc-2.1.1-r1.apk 15-Apr-2024 21:52 10928 py3-pytest-subprocess-1.5.0-r2.apk 15-Apr-2024 21:52 18160 py3-pytest-subprocess-pyc-1.5.0-r2.apk 15-Apr-2024 21:52 24824 py3-python-archive-0.2-r6.apk 15-Apr-2024 21:52 6257 py3-python-archive-pyc-0.2-r6.apk 15-Apr-2024 21:52 9332 py3-python-iptables-1.0.1-r1.apk 15-Apr-2024 21:52 40043 py3-python-iptables-pyc-1.0.1-r1.apk 15-Apr-2024 21:52 69269 py3-python-jose-3.3.0-r2.apk 15-Apr-2024 13:09 28960 py3-python-jose-doc-3.3.0-r2.apk 15-Apr-2024 13:09 2947 py3-python-jose-pyc-3.3.0-r2.apk 15-Apr-2024 13:09 50189 py3-python-logstash-0.4.8-r3.apk 15-Apr-2024 21:52 7374 py3-python-logstash-doc-0.4.8-r3.apk 15-Apr-2024 21:52 2075 py3-python-logstash-pyc-0.4.8-r3.apk 15-Apr-2024 21:52 8383 py3-python-stdnum-1.19-r1.apk 15-Apr-2024 21:52 753925 py3-python-stdnum-pyc-1.19-r1.apk 15-Apr-2024 21:52 297315 py3-pytube-15.0.0-r1.apk 15-Apr-2024 21:52 54062 py3-pytube-pyc-15.0.0-r1.apk 15-Apr-2024 21:52 92422 py3-pytweening-1.2.0-r2.apk 15-Apr-2024 21:52 8971 py3-pytweening-pyc-1.2.0-r2.apk 15-Apr-2024 21:52 8962 py3-pyvcd-0.4.0-r1.apk 15-Apr-2024 21:52 23240 py3-pyvcd-pyc-0.4.0-r1.apk 15-Apr-2024 21:52 40956 py3-pyvows-3.0.0-r4.apk 15-Apr-2024 21:52 26623 py3-pyvows-doc-3.0.0-r4.apk 15-Apr-2024 21:52 1832 py3-pyvows-pyc-3.0.0-r4.apk 15-Apr-2024 21:52 49691 py3-pyzor-1.0.0-r10.apk 15-Apr-2024 21:52 32926 py3-pyzor-pyc-1.0.0-r10.apk 15-Apr-2024 21:52 54749 py3-qasync-0.19.0-r1.apk 15-Apr-2024 21:52 36255 py3-qbittorrent-api-2024.3.60-r0.apk 01-Apr-2024 18:10 55701 py3-qbittorrent-api-doc-2024.3.60-r0.apk 01-Apr-2024 18:10 28049 py3-qbittorrent-api-pyc-2024.3.60-r0.apk 01-Apr-2024 18:10 94736 py3-qdldl-0.1.5-r3.apk 15-Apr-2024 21:52 102421 py3-qpageview-0.6.2-r1.apk 15-Apr-2024 21:52 100135 py3-qpageview-doc-0.6.2-r1.apk 15-Apr-2024 21:52 57373 py3-qpageview-pyc-0.6.2-r1.apk 15-Apr-2024 21:52 184286 py3-qt.py-1.3.10-r0.apk 15-Apr-2024 21:52 31381 py3-qt.py-pyc-1.3.10-r0.apk 15-Apr-2024 21:52 25035 py3-quebra-frases-0.3.7-r1.apk 15-Apr-2024 21:52 8760 py3-quebra-frases-pyc-0.3.7-r1.apk 15-Apr-2024 21:52 7738 py3-queuelib-1.6.2-r4.apk 15-Apr-2024 21:52 12545 py3-queuelib-pyc-1.6.2-r4.apk 15-Apr-2024 21:52 24950 py3-rabbit-1.1.0-r7.apk 15-Apr-2024 21:52 10831 py3-rabbit-pyc-1.1.0-r7.apk 15-Apr-2024 21:52 15611 py3-radon-6.0.1-r1.apk 15-Apr-2024 21:52 32758 py3-radon-doc-6.0.1-r1.apk 15-Apr-2024 21:52 5019 py3-radon-pyc-6.0.1-r1.apk 15-Apr-2024 21:52 50663 py3-rapidjson-1.12-r1.apk 15-Apr-2024 21:52 137544 py3-recommonmark-0.7.1-r3.apk 15-Apr-2024 21:52 11609 py3-recommonmark-pyc-0.7.1-r3.apk 15-Apr-2024 21:52 17681 py3-recurring-ical-events-2.2.1-r1.apk 15-Apr-2024 21:52 19092 py3-recurring-ical-events-pyc-2.2.1-r1.apk 15-Apr-2024 21:52 16064 py3-redmine-2.4.0-r3.apk 15-Apr-2024 21:52 35114 py3-redmine-pyc-2.4.0-r3.apk 15-Apr-2024 21:52 52560 py3-remind-0.18.0-r3.apk 15-Apr-2024 21:52 12642 py3-remind-pyc-0.18.0-r3.apk 15-Apr-2024 21:52 4249 py3-requests-kerberos-0.14.0-r4.apk 15-Apr-2024 21:52 11932 py3-requests-kerberos-pyc-0.14.0-r4.apk 15-Apr-2024 21:52 10957 py3-requests-wsgi-adapter-0.4.1-r1.apk 15-Apr-2024 21:52 5380 py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk 15-Apr-2024 21:52 6490 py3-rfc-bibtex-0.3.2-r6.apk 15-Apr-2024 21:52 11642 py3-rfc-bibtex-pyc-0.3.2-r6.apk 15-Apr-2024 21:52 12490 py3-rfc3987-1.3.8-r5.apk 15-Apr-2024 21:52 8851 py3-rfc3987-pyc-1.3.8-r5.apk 15-Apr-2024 21:52 10619 py3-rich-click-1.7.3-r1.apk 15-Apr-2024 21:52 31205 py3-rich-click-pyc-1.7.3-r1.apk 15-Apr-2024 21:52 41038 py3-riotctrl-0.5.0-r3.apk 15-Apr-2024 21:52 11757 py3-riotctrl-pyc-0.5.0-r3.apk 15-Apr-2024 21:52 10714 py3-rosdistro-0.9.0-r3.apk 15-Apr-2024 21:52 47931 py3-rosdistro-pyc-0.9.0-r3.apk 15-Apr-2024 21:52 93185 py3-rospkg-1.2.9-r5.apk 15-Apr-2024 21:52 29227 py3-rospkg-pyc-1.2.9-r5.apk 15-Apr-2024 21:52 55157 py3-rpio-0.10.1-r7.apk 15-Apr-2024 21:52 36786 py3-rpio-pyc-0.10.1-r7.apk 15-Apr-2024 21:52 16086 py3-rst-0.1-r8.apk 15-Apr-2024 21:52 5582 py3-rst-pyc-0.1-r8.apk 15-Apr-2024 21:52 6009 py3-rst.linker-2.4.0-r2.apk 15-Apr-2024 21:52 6463 py3-rst.linker-pyc-2.4.0-r2.apk 15-Apr-2024 21:52 7308 py3-rstr-3.2.2-r3.apk 15-Apr-2024 21:52 9886 py3-rstr-pyc-3.2.2-r3.apk 15-Apr-2024 21:52 9995 py3-rtree-1.1.0-r1.apk 15-Apr-2024 21:52 24538 py3-rtree-pyc-1.1.0-r1.apk 15-Apr-2024 21:52 44671 py3-sabctools-8.1.0-r1.apk 15-Apr-2024 21:52 32549 py3-sabctools-pyc-8.1.0-r1.apk 15-Apr-2024 21:52 1676 py3-schema-0.7.5-r3.apk 15-Apr-2024 21:52 17825 py3-schema-pyc-0.7.5-r3.apk 15-Apr-2024 21:52 18507 py3-scour-0.38.2-r1.apk 15-Apr-2024 21:52 57475 py3-scour-pyc-0.38.2-r1.apk 15-Apr-2024 21:52 75276 py3-scrapy-2.11.1-r1.apk 15-Apr-2024 21:52 245475 py3-scrapy-pyc-2.11.1-r1.apk 15-Apr-2024 21:52 493319 py3-scs-3.2.3-r3.apk 15-Apr-2024 21:52 122681 py3-scs-pyc-3.2.3-r3.apk 15-Apr-2024 21:52 4799 py3-seqdiag-3.0.0-r5.apk 15-Apr-2024 21:52 2581539 py3-seqdiag-pyc-3.0.0-r5.apk 15-Apr-2024 21:52 42982 py3-setuptools-declarative-requirements-1.3.0-r..> 15-Apr-2024 21:52 9703 py3-setuptools-declarative-requirements-pyc-1.3..> 15-Apr-2024 21:52 4779 py3-setuptools-lint-0.6.0-r9.apk 15-Apr-2024 21:52 5289 py3-setuptools-lint-pyc-0.6.0-r9.apk 15-Apr-2024 21:52 5884 py3-sh-2.0.6-r1.apk 15-Apr-2024 21:52 38688 py3-sh-pyc-2.0.6-r1.apk 15-Apr-2024 21:52 56131 py3-shodan-1.31.0-r1.apk 15-Apr-2024 21:52 44887 py3-shodan-doc-1.31.0-r1.apk 15-Apr-2024 21:52 7105 py3-shodan-pyc-1.31.0-r1.apk 15-Apr-2024 21:52 80785 py3-shortuuid-1.0.11-r3.apk 15-Apr-2024 21:52 9322 py3-shortuuid-pyc-1.0.11-r3.apk 15-Apr-2024 21:52 12160 py3-simber-0.2.6-r3.apk 15-Apr-2024 21:52 10971 py3-simber-pyc-0.2.6-r3.apk 15-Apr-2024 21:52 16536 py3-simplematch-1.4-r1.apk 15-Apr-2024 21:52 7925 py3-simplematch-pyc-1.4-r1.apk 15-Apr-2024 21:52 5673 py3-simplesoapy-1.5.1-r6.apk 15-Apr-2024 21:52 6838 py3-simplesoapy-pyc-1.5.1-r6.apk 15-Apr-2024 21:52 11808 py3-simplespectral-1.0.0-r4.apk 15-Apr-2024 21:52 6536 py3-simplespectral-pyc-1.0.0-r4.apk 15-Apr-2024 21:52 8024 py3-slidge-style-parser-0.1.6-r1.apk 15-Apr-2024 21:52 223658 py3-slidge-style-parser-pyc-0.1.6-r1.apk 15-Apr-2024 21:52 1747 py3-slixmpp-1.8.5-r2.apk 14-Apr-2024 17:05 392112 py3-slixmpp-doc-1.8.5-r2.apk 14-Apr-2024 17:05 5683 py3-slixmpp-pyc-1.8.5-r2.apk 14-Apr-2024 17:05 746728 py3-snapshottest-0.6.0-r4.apk 19-Apr-2024 14:28 13866 py3-snapshottest-pyc-0.6.0-r4.apk 19-Apr-2024 14:28 26096 py3-soappy-0.52.28-r2.apk 15-Apr-2024 21:52 47856 py3-soappy-pyc-0.52.28-r2.apk 15-Apr-2024 21:52 98139 py3-soapy_power-1.6.1-r4.apk 15-Apr-2024 21:52 16869 py3-soapy_power-pyc-1.6.1-r4.apk 15-Apr-2024 21:52 26905 py3-solidpython-1.1.2-r2.apk 15-Apr-2024 21:52 80322 py3-solidpython-pyc-1.1.2-r2.apk 15-Apr-2024 21:52 122570 py3-sortedcollections-2.1.0-r4.apk 15-Apr-2024 21:52 10255 py3-sortedcollections-pyc-2.1.0-r4.apk 15-Apr-2024 21:52 13955 py3-sphinx-argparse-0.4.0-r3.apk 15-Apr-2024 21:52 14332 py3-sphinx-argparse-pyc-0.4.0-r3.apk 15-Apr-2024 21:52 21872 py3-sphinx-autoapi-3.0.0-r1.apk 15-Apr-2024 21:52 32368 py3-sphinx-autoapi-pyc-3.0.0-r1.apk 15-Apr-2024 21:52 57687 py3-sphinx-autodoc-typehints-2.0.1-r0.apk 13-Apr-2024 17:05 19546 py3-sphinx-autodoc-typehints-pyc-2.0.1-r0.apk 13-Apr-2024 17:05 27941 py3-sphinx-theme-better-0.1.5-r6.apk 15-Apr-2024 21:52 9050 py3-sphinx-theme-better-pyc-0.1.5-r6.apk 15-Apr-2024 21:52 1783 py3-sphinx-theme-bootstrap-0.8.1-r3.apk 15-Apr-2024 21:52 1206226 py3-sphinx-theme-bootstrap-pyc-0.8.1-r3.apk 15-Apr-2024 21:52 2152 py3-sphinx-theme-bw-0.1.8-r7.apk 15-Apr-2024 21:52 66050 py3-sphinx-theme-bw-pyc-0.1.8-r7.apk 15-Apr-2024 21:52 1613 py3-sphinx-theme-cloud-1.10.0-r2.apk 15-Apr-2024 21:52 82995 py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk 15-Apr-2024 21:52 43534 py3-sphinx-theme-epfl-1.1.1-r9.apk 15-Apr-2024 21:52 30305 py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk 15-Apr-2024 21:52 2267 py3-sphinx-theme-guzzle-0.7.11-r6.apk 15-Apr-2024 21:52 2559701 py3-sphinx-theme-guzzle-pyc-0.7.11-r6.apk 15-Apr-2024 21:52 5391 py3-sphinx-theme-quark-0.6.0-r2.apk 26-Apr-2023 15:56 10426 py3-sphinx-theme-quark-pyc-0.6.0-r2.apk 26-Apr-2023 15:56 8346 py3-sphinx-theme-readable-1.3.0-r9.apk 15-Apr-2024 21:52 8764 py3-sphinx-theme-readable-pyc-1.3.0-r9.apk 15-Apr-2024 21:52 1997 py3-sphinxcontrib-actdiag-3.0.0-r2.apk 26-Apr-2023 15:56 6460 py3-sphinxcontrib-actdiag-pyc-3.0.0-r2.apk 26-Apr-2023 15:56 9663 py3-sphinxcontrib-adadomain-0.2-r9.apk 15-Apr-2024 21:52 8957 py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk 15-Apr-2024 21:52 12001 py3-sphinxcontrib-bitbucket-1.0-r8.apk 15-Apr-2024 21:52 5545 py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk 15-Apr-2024 21:52 3918 py3-sphinxcontrib-blockdiag-3.0.0-r4.apk 15-Apr-2024 21:52 7457 py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk 15-Apr-2024 21:52 9106 py3-sphinxcontrib-cacoo-2.0.0-r7.apk 15-Apr-2024 21:52 5600 py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk 15-Apr-2024 21:52 4087 py3-sphinxcontrib-cartouche-1.1.2-r7.apk 15-Apr-2024 21:52 18089 py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk 15-Apr-2024 21:52 34043 py3-sphinxcontrib-doxylink-1.12.2-r2.apk 15-Apr-2024 21:52 12560 py3-sphinxcontrib-doxylink-pyc-1.12.2-r2.apk 15-Apr-2024 21:52 16605 py3-sphinxcontrib-fulltoc-1.2.0-r8.apk 15-Apr-2024 21:52 9068 py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk 15-Apr-2024 21:52 3298 py3-sphinxcontrib-gist-0.1.0-r9.apk 15-Apr-2024 21:52 3742 py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk 15-Apr-2024 21:52 3147 py3-sphinxcontrib-git-11.0.0-r7.apk 15-Apr-2024 21:52 17276 py3-sphinxcontrib-git-pyc-11.0.0-r7.apk 15-Apr-2024 21:52 6518 py3-sphinxcontrib-gravatar-0.1.2-r7.apk 15-Apr-2024 21:52 6621 py3-sphinxcontrib-gravatar-pyc-0.1.2-r7.apk 15-Apr-2024 21:52 7560 py3-sphinxcontrib-htsql-0.1.5-r8.apk 15-Apr-2024 21:52 10542 py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk 15-Apr-2024 21:52 15127 py3-sphinxcontrib-httpdomain-1.8.1-r3.apk 15-Apr-2024 21:52 18266 py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk 15-Apr-2024 21:52 4174 py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk 15-Apr-2024 21:52 34438 py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> 15-Apr-2024 21:52 21612 py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> 15-Apr-2024 21:52 44625 py3-sphinxcontrib-inheritance-0.9.0-r9.apk 15-Apr-2024 21:52 10521 py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk 15-Apr-2024 21:52 15175 py3-sphinxcontrib-issuetracker-0.11-r7.apk 15-Apr-2024 21:52 11099 py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk 15-Apr-2024 21:52 11969 py3-sphinxcontrib-lassodomain-0.4-r8.apk 15-Apr-2024 21:52 7848 py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk 15-Apr-2024 21:52 10586 py3-sphinxcontrib-manpage-0.6-r8.apk 15-Apr-2024 21:52 3970 py3-sphinxcontrib-manpage-pyc-0.6-r8.apk 15-Apr-2024 21:52 2957 py3-sphinxcontrib-nwdiag-2.0.0-r7.apk 15-Apr-2024 21:52 8658 py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk 15-Apr-2024 21:52 11196 py3-sphinxcontrib-phpdomain-0.11.2-r1.apk 15-Apr-2024 21:52 11183 py3-sphinxcontrib-phpdomain-pyc-0.11.2-r1.apk 15-Apr-2024 21:52 16944 py3-sphinxcontrib-programoutput-0.17-r4.apk 15-Apr-2024 21:52 16014 py3-sphinxcontrib-programoutput-pyc-0.17-r4.apk 15-Apr-2024 21:52 24169 py3-sphinxcontrib-restbuilder-0.3-r6.apk 15-Apr-2024 21:52 11329 py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk 15-Apr-2024 21:52 20722 py3-sphinxcontrib-seqdiag-3.0.0-r5.apk 15-Apr-2024 21:52 7564 py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk 15-Apr-2024 21:52 9253 py3-sphinxcontrib-slide-1.0.0-r3.apk 15-Apr-2024 21:52 4850 py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk 15-Apr-2024 21:52 5415 py3-sphinxcontrib-spelling-8.0.0-r3.apk 15-Apr-2024 21:52 15450 py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk 15-Apr-2024 21:52 19096 py3-sphinxcontrib-sqltable-2.0.0-r8.apk 15-Apr-2024 21:52 7255 py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk 15-Apr-2024 21:52 4782 py3-sphinxcontrib-textstyle-0.2.3-r8.apk 15-Apr-2024 21:52 5990 py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk 15-Apr-2024 21:52 5523 py3-sphobjinv-2.3.1-r2.apk 13-Apr-2024 17:05 39208 py3-sphobjinv-pyc-2.3.1-r2.apk 13-Apr-2024 17:05 53099 py3-spidev-3.6-r0.apk 08-Dec-2022 23:13 14454 py3-spin-0.8-r0.apk 13-Apr-2024 17:05 18699 py3-spin-pyc-0.8-r0.apk 13-Apr-2024 17:05 24808 py3-spinners-0.0.24-r4.apk 15-Apr-2024 21:52 5603 py3-spinners-pyc-0.0.24-r4.apk 15-Apr-2024 21:52 6158 py3-spnego-0.10.2-r1.apk 15-Apr-2024 21:52 121506 py3-spnego-pyc-0.10.2-r1.apk 15-Apr-2024 21:52 224097 py3-spotipy-2.23.0-r2.apk 15-Apr-2024 21:52 28244 py3-spotipy-pyc-2.23.0-r2.apk 15-Apr-2024 21:52 49431 py3-srt-3.5.3-r1.apk 15-Apr-2024 21:52 20045 py3-srt-pyc-3.5.3-r1.apk 15-Apr-2024 21:52 14981 py3-sstash-0.17-r8.apk 15-Apr-2024 21:52 7395 py3-sstash-pyc-0.17-r8.apk 15-Apr-2024 21:52 10297 py3-stringcase-1.2.0-r8.apk 13-Apr-2024 17:05 4641 py3-stringcase-pyc-1.2.0-r8.apk 13-Apr-2024 17:05 3722 py3-svgpath-6.3-r2.apk 15-Apr-2024 21:52 17240 py3-svgpath-pyc-6.3-r2.apk 15-Apr-2024 21:52 21923 py3-swagger-ui-bundle-1.1.0-r1.apk 15-Apr-2024 21:52 2602248 py3-swagger-ui-bundle-pyc-1.1.0-r1.apk 15-Apr-2024 21:52 1890 py3-syrupy-4.6.1-r1.apk 15-Apr-2024 21:52 43324 py3-syrupy-pyc-4.6.1-r1.apk 15-Apr-2024 21:52 71202 py3-tailer-0.4.1-r7.apk 15-Apr-2024 21:52 6768 py3-tailer-pyc-0.4.1-r7.apk 15-Apr-2024 21:52 6526 py3-tasklib-2.5.1-r2.apk 15-Apr-2024 21:52 23389 py3-tasklib-pyc-2.5.1-r2.apk 15-Apr-2024 21:52 52497 py3-telegram-0.18.0-r2.apk 15-Apr-2024 21:52 12678 py3-telegram-bot-20.8-r1.apk 15-Apr-2024 21:52 395288 py3-telegram-bot-pyc-20.8-r1.apk 15-Apr-2024 21:52 669348 py3-telegram-pyc-0.18.0-r2.apk 15-Apr-2024 21:52 21159 py3-telegram-text-0.2.0-r1.apk 15-Apr-2024 21:52 9145 py3-telegram-text-pyc-0.2.0-r1.apk 15-Apr-2024 21:52 12998 py3-telemetrix-1.20-r2.apk 15-Apr-2024 21:52 20992 py3-telemetrix-pyc-1.20-r2.apk 15-Apr-2024 21:52 31540 py3-teletype-1.3.4-r3.apk 15-Apr-2024 21:52 15514 py3-teletype-pyc-1.3.4-r3.apk 15-Apr-2024 21:52 20753 py3-testresources-2.0.1-r5.apk 26-Apr-2023 15:56 16122 py3-testresources-pyc-2.0.1-r5.apk 26-Apr-2023 15:56 16752 py3-textual-0.47.1-r1.apk 15-Apr-2024 21:52 449146 py3-textual-pyc-0.47.1-r1.apk 15-Apr-2024 21:52 891982 py3-tg-0.19.0-r4.apk 15-Apr-2024 21:52 36163 py3-tg-pyc-0.19.0-r4.apk 15-Apr-2024 21:52 82325 py3-thefuzz-0.22.1-r1.apk 15-Apr-2024 21:52 9986 py3-thefuzz-pyc-0.22.1-r1.apk 15-Apr-2024 21:52 8899 py3-ticket-auth-0.1.4-r8.apk 15-Apr-2024 21:52 5522 py3-ticket-auth-pyc-0.1.4-r8.apk 15-Apr-2024 21:52 6277 py3-tidalapi-0.7.4-r1.apk 15-Apr-2024 21:52 36160 py3-tidalapi-pyc-0.7.4-r1.apk 15-Apr-2024 21:52 63444 py3-timeago-1.0.16-r0.apk 05-May-2024 05:25 23899 py3-timeago-doc-1.0.16-r0.apk 05-May-2024 05:25 2651 py3-timeago-pyc-1.0.16-r0.apk 05-May-2024 05:25 28055 py3-tls_parser-2.0.1-r1.apk 15-Apr-2024 21:52 9136 py3-tls_parser-pyc-2.0.1-r1.apk 15-Apr-2024 21:52 17574 py3-tlslite-ng-0.7.6-r7.apk 15-Apr-2024 21:52 169472 py3-tlslite-ng-pyc-0.7.6-r7.apk 15-Apr-2024 21:52 281120 py3-tokenizers-0.15.2-r1.apk 15-Apr-2024 21:52 1639779 py3-tokenizers-pyc-0.15.2-r1.apk 15-Apr-2024 21:52 29670 py3-toposort-1.10-r3.apk 15-Apr-2024 21:52 9422 py3-toposort-pyc-1.10-r3.apk 15-Apr-2024 21:52 3560 py3-tpm2-pytss-2.2.1-r0.apk 14-Mar-2024 08:39 279058 py3-tpm2-pytss-pyc-2.2.1-r0.apk 14-Mar-2024 08:39 241578 py3-transitions-0.9.0-r3.apk 15-Apr-2024 21:52 86818 py3-transitions-pyc-0.9.0-r3.apk 15-Apr-2024 21:52 117127 py3-translationstring-1.4-r3.apk 15-Apr-2024 21:52 7865 py3-translationstring-pyc-1.4-r3.apk 15-Apr-2024 21:52 8737 py3-trimesh-3.22.1-r1.apk 15-Apr-2024 21:52 644364 py3-trimesh-pyc-3.22.1-r1.apk 15-Apr-2024 21:52 748641 py3-trivup-0.12.2-r1.apk 15-Apr-2024 21:52 33496 py3-trivup-pyc-0.12.2-r1.apk 15-Apr-2024 21:52 55976 py3-truststore-0.8.0-r1.apk 15-Apr-2024 21:52 16606 py3-truststore-pyc-0.8.0-r1.apk 15-Apr-2024 21:52 23820 py3-twiggy-0.5.1-r3.apk 15-Apr-2024 21:52 23026 py3-twiggy-pyc-0.5.1-r3.apk 15-Apr-2024 21:52 39361 py3-typing_inspect-0.9.0-r2.apk 15-Apr-2024 21:52 9883 py3-typing_inspect-pyc-0.9.0-r2.apk 15-Apr-2024 21:52 14305 py3-u-msgpack-2.8.0-r1.apk 17-Apr-2024 02:55 10443 py3-u-msgpack-pyc-2.8.0-r1.apk 17-Apr-2024 02:55 16376 py3-uc-micro-py-1.0.2-r1.apk 15-Apr-2024 21:52 9017 py3-unearth-0.15.2-r0.apk 25-Apr-2024 19:39 41660 py3-unearth-pyc-0.15.2-r0.apk 25-Apr-2024 19:39 82979 py3-unicrypto-0.0.10-r2.apk 15-Apr-2024 21:52 62107 py3-unicrypto-pyc-0.0.10-r2.apk 15-Apr-2024 21:52 96073 py3-unidns-0.0.1-r2.apk 15-Apr-2024 21:52 13613 py3-unidns-examples-0.0.1-r2.apk 15-Apr-2024 21:52 2494 py3-unidns-pyc-0.0.1-r2.apk 15-Apr-2024 21:52 21860 py3-untokenize-0.1.1-r3.apk 15-Apr-2024 21:52 3985 py3-untokenize-pyc-0.1.1-r3.apk 15-Apr-2024 21:52 2601 py3-uptime-3.0.1-r8.apk 15-Apr-2024 21:52 8873 py3-uptime-pyc-3.0.1-r8.apk 15-Apr-2024 21:52 8657 py3-uritools-4.0.2-r1.apk 15-Apr-2024 21:52 10552 py3-uritools-pyc-4.0.2-r1.apk 15-Apr-2024 21:52 13956 py3-urlobject-2.4.3-r8.apk 15-Apr-2024 21:52 14409 py3-urlobject-pyc-2.4.3-r8.apk 15-Apr-2024 21:52 25061 py3-us-3.1.1-r1.apk 15-Apr-2024 21:52 12791 py3-us-pyc-3.1.1-r1.apk 15-Apr-2024 21:52 15075 py3-utc-0.0.3-r8.apk 15-Apr-2024 21:52 3082 py3-utc-pyc-0.0.3-r8.apk 15-Apr-2024 21:52 2581 py3-utils-3.8.1-r1.apk 15-Apr-2024 21:52 25653 py3-utils-pyc-3.8.1-r1.apk 15-Apr-2024 21:52 37528 py3-vatnumber-1.2-r8.apk 15-Apr-2024 21:52 6155 py3-vatnumber-pyc-1.2-r8.apk 15-Apr-2024 21:52 8586 py3-vdf-3.4-r1.apk 15-Apr-2024 21:52 11327 py3-vdf-pyc-3.4-r1.apk 15-Apr-2024 21:52 16691 py3-venusian-3.1.0-r1.apk 15-Apr-2024 21:52 13960 py3-venusian-pyc-3.1.0-r1.apk 15-Apr-2024 21:52 12693 py3-virtualenvwrapper-6.1.0-r1.apk 15-Apr-2024 21:52 22143 py3-virtualenvwrapper-pyc-6.1.0-r1.apk 15-Apr-2024 21:52 11730 py3-visitor-0.1.3-r6.apk 15-Apr-2024 21:52 4085 py3-visitor-pyc-0.1.3-r6.apk 15-Apr-2024 21:52 2474 py3-ward-0.67.0_beta0-r2.apk 15-Apr-2024 21:52 41424 py3-ward-pyc-0.67.0_beta0-r2.apk 15-Apr-2024 21:52 81199 py3-wbdata-1.0.0-r1.apk 15-Apr-2024 21:52 18673 py3-wbdata-pyc-1.0.0-r1.apk 15-Apr-2024 21:52 19782 py3-webdavclient3-3.14.6-r1.apk 15-Apr-2024 21:52 22076 py3-webdavclient3-pyc-3.14.6-r1.apk 15-Apr-2024 21:52 29532 py3-webvtt-0.4.6-r1.apk 15-Apr-2024 21:52 11978 py3-webvtt-pyc-0.4.6-r1.apk 15-Apr-2024 21:52 19618 py3-wg-netns-2.3.1-r1.apk 15-Apr-2024 21:52 7484 py3-wg-netns-pyc-2.3.1-r1.apk 15-Apr-2024 21:52 13443 py3-wifi-0.3.8-r6.apk 15-Apr-2024 21:52 11841 py3-wifi-pyc-0.3.8-r6.apk 15-Apr-2024 21:52 13825 py3-winacl-0.1.8-r1.apk 15-Apr-2024 21:52 82787 py3-winacl-pyc-0.1.8-r1.apk 15-Apr-2024 21:52 128762 py3-wsgiprox-1.5.2-r0.apk 28-Oct-2023 23:53 16836 py3-wsgiprox-pyc-1.5.2-r0.apk 28-Oct-2023 23:53 30038 py3-wstools-0.4.10-r6.apk 15-Apr-2024 21:52 52915 py3-wstools-pyc-0.4.10-r6.apk 15-Apr-2024 21:52 113173 py3-wtf-peewee-3.0.5-r1.apk 15-Apr-2024 21:52 12261 py3-wtf-peewee-pyc-3.0.5-r1.apk 15-Apr-2024 21:52 25120 py3-x-wr-timezone-0.0.7-r1.apk 15-Apr-2024 21:52 11265 py3-x-wr-timezone-pyc-0.0.7-r1.apk 15-Apr-2024 21:52 6277 py3-xapp-2.4.1-r1.apk 15-Apr-2024 21:52 34433 py3-xlwt-1.3.0-r9.apk 15-Apr-2024 21:52 96607 py3-xlwt-pyc-1.3.0-r9.apk 15-Apr-2024 21:52 169247 py3-xsdata-24.4-r1.apk 15-Apr-2024 21:52 189519 py3-xsdata-pyc-24.4-r1.apk 15-Apr-2024 21:52 399530 py3-yapsy-1.12.2-r7.apk 15-Apr-2024 21:52 32748 py3-yapsy-pyc-1.12.2-r7.apk 15-Apr-2024 21:52 48006 py3-yara-4.5.0-r1.apk 15-Apr-2024 21:52 18910 py3-yosys-0.36-r3.apk 22-Apr-2024 18:59 1586 py3-zfs-autobackup-3.2.2-r1.apk 15-Apr-2024 21:52 56590 py3-zfs-autobackup-pyc-3.2.2-r1.apk 15-Apr-2024 21:52 71170 py3-zimscraperlib-3.2.0-r0.apk 21-Jan-2024 20:36 50960 py3-zimscraperlib-pyc-3.2.0-r0.apk 21-Jan-2024 20:36 71088 py3-zope-configuration-5.0.1-r2.apk 15-Apr-2024 21:52 39815 py3-zope-configuration-pyc-5.0.1-r2.apk 15-Apr-2024 21:52 49424 py3-zope-i18nmessageid-6.1.0-r1.apk 15-Apr-2024 21:52 15702 py3-zope-i18nmessageid-pyc-6.1.0-r1.apk 15-Apr-2024 21:52 7936 py3-zope-schema-7.0.1-r2.apk 15-Apr-2024 21:52 43993 py3-zope-schema-pyc-7.0.1-r2.apk 15-Apr-2024 21:52 62294 pympress-1.8.5-r1.apk 15-Apr-2024 21:52 185263 pympress-doc-1.8.5-r1.apk 15-Apr-2024 21:52 356517 pympress-lang-1.8.5-r1.apk 15-Apr-2024 21:52 57381 pympress-pyc-1.8.5-r1.apk 15-Apr-2024 21:52 186302 pypy-7.3.12-r0.apk 16-Jun-2023 23:13 16198355 pypy-bootstrap-7.3.12-r0.apk 16-Jun-2023 23:14 16934852 pypy-dev-7.3.12-r0.apk 16-Jun-2023 23:14 79715 pypy-tkinter-7.3.12-r0.apk 16-Jun-2023 23:14 463302 pypykatz-0.6.9-r1.apk 15-Apr-2024 21:52 321123 pypykatz-pyc-0.6.9-r1.apk 15-Apr-2024 21:52 714388 pyradio-0.9.3.4-r0.apk 04-May-2024 11:20 908906 pyradio-doc-0.9.3.4-r0.apk 04-May-2024 11:20 110185 pyradio-pyc-0.9.3.4-r0.apk 04-May-2024 11:20 810293 qbittorrent-cli-2.0.0-r3.apk 07-Apr-2024 00:50 4989459 qdjango-0.6.2-r0.apk 04-Nov-2023 16:55 108047 qdjango-dev-0.6.2-r0.apk 04-Nov-2023 16:55 14152 qflipper-1.3.3-r0.apk 15-Nov-2023 23:43 523335 qflipper-gui-1.3.3-r0.apk 15-Nov-2023 23:43 1146631 qmk-cli-1.1.5-r1.apk 15-Apr-2024 21:52 14809 qmk-cli-pyc-1.1.5-r1.apk 15-Apr-2024 21:52 20879 qml-asteroid-2.0.0-r0.apk 31-Aug-2023 09:43 74140 qml-asteroid-dbg-2.0.0-r0.apk 31-Aug-2023 09:43 2030461 qml-asteroid-dev-2.0.0-r0.apk 31-Aug-2023 09:43 11086 qml-box2d-0_git20180406-r0.apk 21-May-2020 17:38 152438 qoi-0.0.0_git20230312-r0.apk 17-Mar-2023 06:15 1234 qoi-dev-0.0.0_git20230312-r0.apk 17-Mar-2023 06:15 6730 qoiconv-0.0.0_git20230312-r0.apk 17-Mar-2023 06:15 31685 qpdfview-0.5-r0.apk 13-Feb-2023 14:23 1067650 qpdfview-doc-0.5-r0.apk 13-Feb-2023 14:23 4137 qperf-0.4.11-r1.apk 02-Nov-2022 08:49 35612 qperf-doc-0.4.11-r1.apk 02-Nov-2022 08:49 5402 qqc2-suru-style-0.20230206-r0.apk 17-Dec-2023 21:24 178996 qspectrumanalyzer-2.2.0-r4.apk 15-Apr-2024 21:52 41032 qspectrumanalyzer-pyc-2.2.0-r4.apk 15-Apr-2024 21:52 63533 qsstv-9.5.8-r2.apk 17-Mar-2023 19:03 1062930 qstardict-1.3-r1.apk 02-Nov-2022 08:49 506969 qstardict-doc-1.3-r1.apk 02-Nov-2022 08:49 10875 qsynth-0.9.13-r0.apk 03-Feb-2024 20:58 421165 qsynth-doc-0.9.13-r0.apk 03-Feb-2024 20:58 4228 qt-jdenticon-0.3.0-r0.apk 27-Apr-2024 21:46 33013 qt-jdenticon-doc-0.3.0-r0.apk 27-Apr-2024 21:46 2037 qt-wayland-shell-helpers-0.1.1-r3.apk 26-Apr-2023 15:56 13221 qt-wayland-shell-helpers-dev-0.1.1-r3.apk 26-Apr-2023 15:56 3768 qt5ct-1.7-r0.apk 18-Apr-2023 11:42 238548 qt5ct-dev-1.7-r0.apk 18-Apr-2023 11:42 1283 qt6ct-0.9-r0.apk 23-Oct-2023 02:07 202911 qtile-0.23.0-r1.apk 15-Apr-2024 21:52 383745 qtile-pyc-0.23.0-r1.apk 15-Apr-2024 21:52 741399 qtmir-0.7.2-r0.apk 28-Jan-2024 17:47 568361 qtmir-dev-0.7.2-r0.apk 28-Jan-2024 17:47 6779 qtpass-1.4.0-r0.apk 02-Nov-2023 21:46 434355 qtpass-doc-1.4.0-r0.apk 02-Nov-2023 21:46 1924 quakespasm-0.96.1-r0.apk 05-Jan-2024 21:32 570441 queercat-1.0.0-r0.apk 16-Aug-2023 10:28 8224 quodlibet-4.6.0-r1.apk 15-Apr-2024 21:52 1101034 quodlibet-bash-completion-4.6.0-r1.apk 15-Apr-2024 21:52 4532 quodlibet-doc-4.6.0-r1.apk 15-Apr-2024 21:52 8656 quodlibet-lang-4.6.0-r1.apk 15-Apr-2024 21:52 1231291 quodlibet-pyc-4.6.0-r1.apk 15-Apr-2024 21:52 1906728 quodlibet-zsh-completion-4.6.0-r1.apk 15-Apr-2024 21:52 2530 r2ghidra-5.9.0-r0.apk 02-Apr-2024 21:27 14466922 rabbitmq-server-3.13.2-r0.apk 01-May-2024 07:58 18601982 rabbitmq-server-doc-3.13.2-r0.apk 01-May-2024 07:58 73604 rabbitmq-server-openrc-3.13.2-r0.apk 01-May-2024 07:58 1665 radio-cli-2.3.1-r0.apk 25-Jan-2024 20:24 1424529 rage-0.9.2-r1.apk 01-Jul-2023 05:25 2498593 rage-bash-completion-0.9.2-r1.apk 01-Jul-2023 05:25 2197 rage-doc-0.9.2-r1.apk 01-Jul-2023 05:25 3659 rage-fish-completion-0.9.2-r1.apk 01-Jul-2023 05:25 1804 rage-zsh-completion-0.9.2-r1.apk 01-Jul-2023 05:25 2124 randrctl-1.9.0-r5.apk 15-Apr-2024 21:52 15911 randrctl-pyc-1.9.0-r5.apk 15-Apr-2024 21:52 32107 rankwidth-0.9-r3.apk 01-Aug-2023 12:38 6927 rankwidth-dev-0.9-r3.apk 01-Aug-2023 12:38 2742 rankwidth-doc-0.9-r3.apk 01-Aug-2023 12:38 2833 rankwidth-libs-0.9-r3.apk 01-Aug-2023 12:38 5601 rankwidth-static-0.9-r3.apk 01-Aug-2023 12:38 4951 rapidfuzz-3.0.0-r0.apk 03-Jan-2024 16:14 64382 raspberrypi-usbboot-20210701-r2.apk 03-Feb-2023 07:19 1524691 rathole-0.5.0-r0.apk 05-Oct-2023 22:20 1505667 rattler-build-0.15.0-r0.apk 17-Apr-2024 20:37 6183964 rattler-build-bash-completion-0.15.0-r0.apk 17-Apr-2024 20:37 3437 rattler-build-doc-0.15.0-r0.apk 17-Apr-2024 20:37 6611 rattler-build-fish-completion-0.15.0-r0.apk 17-Apr-2024 20:37 4478 rattler-build-zsh-completion-0.15.0-r0.apk 17-Apr-2024 20:37 5261 rauc-1.10.1-r0.apk 08-Aug-2023 17:42 147433 rauc-doc-1.10.1-r0.apk 08-Aug-2023 17:42 4002 rauc-service-1.10.1-r0.apk 08-Aug-2023 17:42 3566 razercfg-0.42-r6.apk 15-Apr-2024 21:52 90585 razercfg-gui-0.42-r6.apk 15-Apr-2024 21:52 19187 razercfg-openrc-0.42-r6.apk 15-Apr-2024 21:52 1522 razercfg-pyc-0.42-r6.apk 15-Apr-2024 21:52 36344 rclone-browser-1.8.0-r1.apk 02-Nov-2022 08:49 339188 rcon-cli-1.6.2-r3.apk 07-Apr-2024 00:50 2446305 rdedup-3.2.1-r4.apk 22-Oct-2023 04:58 1150700 rdrview-0.1.1-r0.apk 05-Mar-2024 00:39 33171 rdrview-doc-0.1.1-r0.apk 05-Mar-2024 00:39 3518 reaction-1.3.0-r2.apk 07-Apr-2024 00:50 2195555 reaction-openrc-1.3.0-r2.apk 07-Apr-2024 00:50 1646 reaction-tools-1.3.0-r2.apk 07-Apr-2024 00:50 32884 readosm-1.1.0-r2.apk 27-Sep-2021 22:25 15405 readosm-dev-1.1.0-r2.apk 27-Sep-2021 22:25 20750 reason-3.8.2-r1.apk 24-Mar-2024 02:12 20777487 reason-rtop-3.8.2-r1.apk 24-Mar-2024 02:13 25446529 reaver-wps-fork-t6x-1.6.6-r1.apk 02-Nov-2022 08:49 459970 recoll-1.37.5-r1.apk 15-Apr-2024 21:52 2857839 recoll-dev-1.37.5-r1.apk 15-Apr-2024 21:52 54063 recoll-doc-1.37.5-r1.apk 15-Apr-2024 21:52 21381 reg-0.16.1-r20.apk 07-Apr-2024 00:50 4259300 regal-0.21.3-r0.apk 04-May-2024 11:20 7242410 regal-bash-completion-0.21.3-r0.apk 04-May-2024 11:20 4908 regal-fish-completion-0.21.3-r0.apk 04-May-2024 11:20 4152 regal-zsh-completion-0.21.3-r0.apk 04-May-2024 11:20 3864 release-plz-0.3.64-r0.apk 28-Apr-2024 19:33 6714471 release-plz-bash-completion-0.3.64-r0.apk 28-Apr-2024 19:33 2467 release-plz-doc-0.3.64-r0.apk 28-Apr-2024 19:33 3957 release-plz-fish-completion-0.3.64-r0.apk 28-Apr-2024 19:33 3469 release-plz-zsh-completion-0.3.64-r0.apk 28-Apr-2024 19:33 4050 remake-1.5-r1.apk 02-Nov-2022 08:49 145398 remake-dev-1.5-r1.apk 02-Nov-2022 08:49 2736 remake-doc-1.5-r1.apk 02-Nov-2022 08:49 206227 remake-make-1.5-r1.apk 02-Nov-2022 08:49 1306 remind-caldav-0.8.0-r3.apk 15-Apr-2024 21:52 6387 remind-caldav-pyc-0.8.0-r3.apk 15-Apr-2024 21:52 6051 repgrep-0.15.0-r0.apk 04-Jan-2024 19:32 1279132 repgrep-bash-completion-0.15.0-r0.apk 04-Jan-2024 19:32 1412 repgrep-doc-0.15.0-r0.apk 04-Jan-2024 19:32 6383 repgrep-fish-completion-0.15.0-r0.apk 04-Jan-2024 19:32 3977 repgrep-zsh-completion-0.15.0-r0.apk 04-Jan-2024 19:32 1417 repo-2.42-r0.apk 04-Mar-2024 23:18 17606 repo-doc-2.42-r0.apk 04-Mar-2024 23:18 40956 repowerd-2023.07-r0.apk 17-Dec-2023 21:24 957590 repowerd-openrc-2023.07-r0.apk 17-Dec-2023 21:24 1519 reprotest-0.7.27-r0.apk 17-Apr-2024 23:52 83036 reprotest-pyc-0.7.27-r0.apk 17-Apr-2024 23:52 105590 responder-3.1.4.0-r0.apk 05-Jan-2024 21:30 766786 restart-services-0.17.0-r0.apk 18-Dec-2021 22:54 11791 restart-services-doc-0.17.0-r0.apk 18-Dec-2021 22:54 6023 restic.mk-0.4.0-r0.apk 13-May-2023 08:44 2715 restinio-0.6.17-r6.apk 22-Apr-2024 18:59 1224 restinio-dev-0.6.17-r6.apk 22-Apr-2024 18:59 279198 rezolus-2.11.1-r3.apk 24-May-2023 07:48 946699 rezolus-doc-2.11.1-r3.apk 24-May-2023 07:48 3171 rezolus-openrc-2.11.1-r3.apk 24-May-2023 07:48 1853 rgxg-0.1.2-r2.apk 15-May-2023 20:51 15468 rgxg-dev-0.1.2-r2.apk 15-May-2023 20:51 3344 rgxg-doc-0.1.2-r2.apk 15-May-2023 20:51 12345 rhasspy-nlu-0.4.0-r3.apk 15-Apr-2024 21:52 44368 rhasspy-nlu-pyc-0.4.0-r3.apk 15-Apr-2024 21:52 74348 riemann-cli-0.8.0-r2.apk 24-May-2023 07:48 561944 rime-ls-0.2.4-r0.apk 29-Apr-2024 14:58 1306773 rinetd-0.73-r0.apk 09-Dec-2023 22:48 15429 rinetd-doc-0.73-r0.apk 09-Dec-2023 22:48 16576 rinetd-openrc-0.73-r0.apk 09-Dec-2023 22:48 1491 rio-0.0.36-r0.apk 15-Mar-2024 12:04 7704085 rio-terminfo-0.0.36-r0.apk 15-Mar-2024 12:04 3222 ripasso-cursive-0.6.5-r0.apk 09-Jul-2023 21:31 3122485 ripdrag-0.4.8-r0.apk 28-Apr-2024 10:25 387635 rizin-0.6.3-r0.apk 18-Oct-2023 15:52 2803500 rizin-cutter-2.3.2-r2.apk 16-Apr-2024 16:48 2279091 rizin-cutter-dev-2.3.2-r2.apk 16-Apr-2024 16:48 110230 rizin-dev-0.6.3-r0.apk 18-Oct-2023 15:52 313220 rizin-doc-0.6.3-r0.apk 18-Oct-2023 15:52 17832 rizin-libs-0.6.3-r0.apk 18-Oct-2023 15:52 4695868 rkdeveloptool-1.1.0-r0.apk 03-Feb-2022 02:10 54478 rkdeveloptool-doc-1.1.0-r0.apk 03-Feb-2022 02:10 2778 rke-1.4.3-r7.apk 07-Apr-2024 00:50 19481893 rke-doc-1.4.3-r7.apk 07-Apr-2024 00:50 2772 rlottie-0.2_git20230831-r0.apk 18-Dec-2023 14:56 182355 rlottie-dev-0.2_git20230831-r0.apk 18-Dec-2023 14:56 9390 rlottie-doc-0.2_git20230831-r0.apk 18-Dec-2023 14:56 12664 rmlint-2.10.2-r1.apk 15-Apr-2024 21:52 152405 rmlint-doc-2.10.2-r1.apk 15-Apr-2024 21:52 18000 rmlint-lang-2.10.2-r1.apk 15-Apr-2024 21:52 19108 rmlint-shredder-2.10.2-r1.apk 15-Apr-2024 21:52 98020 rmlint-shredder-pyc-2.10.2-r1.apk 15-Apr-2024 21:52 127391 rofi-blocks-0_git20210123-r0.apk 01-Feb-2022 18:27 12908 rofi-json-menu-0.2.0-r0.apk 01-Feb-2022 20:25 5873 rofi-pass-2.0.2-r2.apk 29-Dec-2021 20:52 9054 rofi-pass-doc-2.0.2-r2.apk 29-Dec-2021 20:52 5074 rosdep-0.19.0-r5.apk 15-Apr-2024 21:52 65581 rosdep-pyc-0.19.0-r5.apk 15-Apr-2024 21:52 121930 rosenpass-0.2.1-r0.apk 22-Nov-2023 10:58 1060394 roswell-22.12.14.113-r0.apk 03-Feb-2023 06:36 117489 roswell-doc-22.12.14.113-r0.apk 03-Feb-2023 06:36 17794 rpg-cli-1.0.1-r1.apk 24-May-2023 07:48 612653 rsstail-2.1-r1.apk 02-Nov-2022 08:49 7816 rsstail-doc-2.1-r1.apk 02-Nov-2022 08:49 2404 rtl-power-fftw-20200601-r4.apk 24-Apr-2024 20:50 63498 rtl-power-fftw-doc-20200601-r4.apk 24-Apr-2024 20:50 8084 rtl88x2bu-src-5.13.1_git20230711-r0.apk 26-Jul-2023 06:23 4188964 rtmidi-6.0.0-r0.apk 07-Aug-2023 09:02 31920 rtmidi-dev-6.0.0-r0.apk 07-Aug-2023 09:02 14193 rtptools-1.22-r2.apk 02-Nov-2022 08:49 40629 rtptools-doc-1.22-r2.apk 02-Nov-2022 08:49 12649 rtw89-src-7_p20230725-r0.apk 26-Jul-2023 06:23 776708 ruby-build-20240423-r0.apk 30-Apr-2024 22:06 106821 ruby-build-doc-20240423-r0.apk 30-Apr-2024 22:06 4477 ruby-build-runtime-20240423-r0.apk 30-Apr-2024 22:06 1246 ruby-libguestfs-1.52.0-r1.apk 15-Apr-2024 21:52 111297 rue-0.3.0-r1.apk 20-Aug-2022 13:35 44449236 ruff-lsp-0.0.53-r0.apk 16-Apr-2024 11:27 21575 ruff-lsp-pyc-0.0.53-r0.apk 16-Apr-2024 11:27 35231 runst-0.1.7-r0.apk 28-Mar-2024 20:31 1693796 runst-doc-0.1.7-r0.apk 28-Mar-2024 20:31 7634 rust-script-0.34.0-r0.apk 29-Sep-2023 15:19 947244 rustic-0.6.1-r1.apk 08-Jan-2024 09:44 3049907 rustic-bash-completion-0.6.1-r1.apk 08-Jan-2024 09:44 6354 rustic-fish-completion-0.6.1-r1.apk 08-Jan-2024 09:44 12399 rustic-zsh-completion-0.6.1-r1.apk 08-Jan-2024 09:44 9376 ruuvi-prometheus-0.1.7-r2.apk 07-Apr-2024 00:50 3365407 ruuvi-prometheus-openrc-0.1.7-r2.apk 07-Apr-2024 00:50 1452 rvlprog-0.91-r1.apk 02-Nov-2022 08:49 28645 ry-0.5.2-r1.apk 02-Nov-2022 08:49 4467 ry-bash-completion-0.5.2-r1.apk 02-Nov-2022 08:49 1733 ry-zsh-completion-0.5.2-r1.apk 02-Nov-2022 08:49 2044 s-postgray-0.8.2-r0.apk 15-Mar-2024 19:09 50502 s-postgray-doc-0.8.2-r0.apk 15-Mar-2024 19:09 9298 s5cmd-2.2.2-r2.apk 07-Apr-2024 00:50 4702532 sacc-1.07-r0.apk 02-Nov-2023 11:01 16100 sacc-doc-1.07-r0.apk 02-Nov-2023 11:01 2669 sandbar-0.1-r0.apk 30-Jun-2023 20:42 15344 sane-airscan-0.99.27-r1.apk 29-Apr-2023 21:47 205646 sane-airscan-doc-0.99.27-r1.apk 29-Apr-2023 21:47 5478 satellite-1.0.0-r20.apk 07-Apr-2024 00:50 1925134 satellite-doc-1.0.0-r20.apk 07-Apr-2024 00:50 2841 satellite-openrc-1.0.0-r20.apk 07-Apr-2024 00:50 1720 satty-0.12.0-r0.apk 05-Apr-2024 01:42 1195159 satty-bash-completion-0.12.0-r0.apk 05-Apr-2024 01:42 2041 satty-fish-completion-0.12.0-r0.apk 05-Apr-2024 01:42 1984 satty-zsh-completion-0.12.0-r0.apk 05-Apr-2024 01:42 2337 sauerbraten-2020.12.29-r3.apk 12-Feb-2024 16:05 980106522 sbase-0_git20210730-r2.apk 02-Nov-2022 08:49 129835 sbase-doc-0_git20210730-r2.apk 02-Nov-2022 08:49 59387 sblg-0.5.11-r0.apk 06-Feb-2023 19:24 41395 sblg-doc-0.5.11-r0.apk 06-Feb-2023 19:24 1410081 sblim-sfcc-2.2.8-r2.apk 15-May-2023 20:51 58606 sblim-sfcc-dev-2.2.8-r2.apk 15-May-2023 20:51 22562 sblim-sfcc-doc-2.2.8-r2.apk 15-May-2023 20:51 35165 sblim-wbemcli-1.6.3-r1.apk 02-Nov-2022 08:49 102174 sblim-wbemcli-doc-1.6.3-r1.apk 02-Nov-2022 08:49 4369 sc-controller-0.4.8.13-r0.apk 03-Feb-2024 21:28 1966564 sc-controller-pyc-0.4.8.13-r0.apk 03-Feb-2024 21:28 943716 sc-im-0.8.3-r0.apk 13-Mar-2023 09:12 174077 sc-im-doc-0.8.3-r0.apk 13-Mar-2023 09:12 4623 scaleway-cli-2.29.0-r0.apk 07-Apr-2024 00:50 12477010 scaleway-cli-bash-completion-2.29.0-r0.apk 07-Apr-2024 00:50 1601 scaleway-cli-fish-completion-2.29.0-r0.apk 07-Apr-2024 00:50 1500 scaleway-cli-zsh-completion-2.29.0-r0.apk 07-Apr-2024 00:50 1537 scalingo-1.30.0-r2.apk 07-Apr-2024 00:50 5045778 scap-workbench-1.2.1-r2.apk 13-Feb-2023 14:25 240400 scap-workbench-doc-1.2.1-r2.apk 13-Feb-2023 14:25 1664187 schismtracker-20231029-r0.apk 19-Nov-2023 13:18 402250 schismtracker-doc-20231029-r0.apk 19-Nov-2023 13:18 6125 scooper-1.3-r1.apk 15-May-2023 20:51 543254 scooper-doc-1.3-r1.apk 15-May-2023 20:51 2382 screenkey-1.5-r6.apk 15-Apr-2024 21:52 78573 screenkey-doc-1.5-r6.apk 15-Apr-2024 21:52 11018 screenkey-pyc-1.5-r6.apk 15-Apr-2024 21:52 74853 scrypt-1.3.2-r0.apk 03-Oct-2023 09:43 26681 scrypt-doc-1.3.2-r0.apk 03-Oct-2023 09:43 4121 sct-2018.12.18-r1.apk 28-Oct-2021 20:50 4273 sdparm-1.12-r1.apk 02-Nov-2022 08:49 151254 sdparm-doc-1.12-r1.apk 02-Nov-2022 08:49 19522 seastar-22.11.0_git20240315-r2.apk 02-Apr-2024 07:53 1666577 seastar-dev-22.11.0_git20240315-r2.apk 02-Apr-2024 07:53 430631 seastar-testing-22.11.0_git20240315-r2.apk 02-Apr-2024 07:53 178523 secsipidx-1.3.2-r4.apk 07-Apr-2024 00:50 2442313 secsipidx-dev-1.3.2-r4.apk 07-Apr-2024 00:50 5113785 secsipidx-libs-1.3.2-r4.apk 07-Apr-2024 00:50 2172312 sedutil-1.15.1-r1.apk 02-Nov-2022 08:49 188153 sedutil-doc-1.15.1-r1.apk 02-Nov-2022 08:49 2871 seed7-05.20240322-r0.apk 24-Mar-2024 13:31 10323134 seed7-doc-05.20240322-r0.apk 24-Mar-2024 13:31 1679718 seed7-nano-05.20240322-r0.apk 24-Mar-2024 13:31 2266 seed7-vim-05.20240322-r0.apk 24-Mar-2024 13:31 3847 sentinel-proxy-2.1.0-r0.apk 18-Nov-2023 17:33 46137 sentinel-proxy-dev-2.1.0-r0.apk 18-Nov-2023 17:33 4478 sentinel-proxy-openrc-2.1.0-r0.apk 18-Nov-2023 17:33 2075 sequoia-sqv-1.2.1-r0.apk 21-Apr-2024 18:49 753959 serialdv-1.1.4-r0.apk 22-Feb-2023 11:32 6952 serialdv-dev-1.1.4-r0.apk 22-Feb-2023 11:32 5275 serialdv-libs-1.1.4-r0.apk 22-Feb-2023 11:32 70454 setroot-2.0.2-r1.apk 02-Nov-2022 08:49 12350 setroot-doc-2.0.2-r1.apk 02-Nov-2022 08:49 4286 sflowtool-6.02-r0.apk 13-Nov-2023 23:00 41531 sflowtool-doc-6.02-r0.apk 13-Nov-2023 23:00 9313 sfwbar-1.0_beta14-r0.apk 04-Jan-2024 01:11 220853 sfwbar-doc-1.0_beta14-r0.apk 04-Jan-2024 01:11 22058 sgt-puzzles-0_git20230310-r1.apk 04-Jul-2023 00:01 2899290 shadowsocks-libev-3.3.5-r4.apk 13-Apr-2024 17:05 224042 shadowsocks-libev-dev-3.3.5-r4.apk 13-Apr-2024 17:05 3284 shadowsocks-libev-doc-3.3.5-r4.apk 13-Apr-2024 17:05 28397 shc-4.0.3-r1.apk 02-Nov-2022 08:49 20742 shellinabox-2.21-r3.apk 15-May-2023 20:51 121458 shellinabox-doc-2.21-r3.apk 15-May-2023 20:51 19555 shellinabox-openrc-2.21-r3.apk 15-May-2023 20:51 3340 shfm-0.4.2-r1.apk 02-Nov-2022 08:49 3871 shfm-doc-0.4.2-r1.apk 02-Nov-2022 08:49 6019 shine-3.1.1-r0.apk 24-Aug-2017 23:16 67360 shipments-0.3.0-r0.apk 03-Feb-2022 02:44 24034 shntool-3.0.10-r4.apk 08-Oct-2022 16:06 62758 shntool-doc-3.0.10-r4.apk 08-Oct-2022 16:06 10582 shutdown-clear-machine-id-1.0.0-r0.apk 21-Aug-2023 10:45 1584 sigma-0.23.1-r1.apk 15-Apr-2024 21:52 242193 sigma-pyc-0.23.1-r1.apk 15-Apr-2024 21:52 347913 sigrok-cli-0.7.2-r0.apk 19-Sep-2022 10:28 40472 sigrok-cli-doc-0.7.2-r0.apk 19-Sep-2022 10:28 8185 sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk 03-Feb-2021 21:33 13386 siji-ng-1.2.1-r0.apk 30-Aug-2022 14:37 20412 silc-client-1.1.11-r16.apk 18-Oct-2023 16:23 940181 silc-client-doc-1.1.11-r16.apk 18-Oct-2023 16:23 84514 simavr-1.7-r1.apk 02-Nov-2022 08:49 106732 simavr-dev-1.7-r1.apk 02-Nov-2022 08:49 826265 simgear-2020.3.19-r1.apk 22-Apr-2024 18:59 1908701 simgear-dev-2020.3.19-r1.apk 22-Apr-2024 18:59 403431 simh-3.11.1-r1.apk 02-Nov-2022 08:49 3813830 simp1e-cursors-0_git20211003-r0.apk 08-Feb-2022 11:53 758883 simp1e-cursors-breeze-0_git20211003-r0.apk 08-Feb-2022 11:53 877678 simp1e-cursors-dark-0_git20211003-r0.apk 08-Feb-2022 11:53 798411 simp1e-cursors-snow-0_git20211003-r0.apk 08-Feb-2022 11:53 847803 simp1e-cursors-solarized-0_git20211003-r0.apk 08-Feb-2022 11:53 734623 simpleble-0.6.1-r1.apk 29-Jul-2023 20:02 1196 simpleble-dev-0.6.1-r1.apk 29-Jul-2023 20:02 21173 sing-box-1.8.4-r3.apk 07-Apr-2024 00:50 9614219 sing-box-bash-completion-1.8.4-r3.apk 07-Apr-2024 00:50 5002 sing-box-fish-completion-1.8.4-r3.apk 07-Apr-2024 00:50 4203 sing-box-openrc-1.8.4-r3.apk 07-Apr-2024 00:50 1550 sing-box-zsh-completion-1.8.4-r3.apk 07-Apr-2024 00:50 3921 sing-geoip-20231212-r0.apk 13-Dec-2023 17:19 3110250 sing-geosite-20231212122459-r0.apk 13-Dec-2023 17:19 732211 singular-4.3.2-r2.apk 01-Aug-2023 12:38 11369181 singular-dev-4.3.2-r2.apk 01-Aug-2023 12:38 378604 singular-doc-4.3.2-r2.apk 01-Aug-2023 12:38 1363986 singular-emacs-4.3.2-r2.apk 01-Aug-2023 12:38 102508 singular-static-4.3.2-r2.apk 01-Aug-2023 12:38 6666350 sipexer-1.1.0-r5.apk 07-Apr-2024 00:50 2465589 sipgrep-2.1.0-r1.apk 02-Nov-2022 08:49 27052 sipgrep-doc-2.1.0-r1.apk 02-Nov-2022 08:49 3967 sish-2.14.0-r1.apk 07-Apr-2024 00:50 7556402 sish-openrc-2.14.0-r1.apk 07-Apr-2024 00:50 1734 slidge-0.1.0-r1.apk 15-Apr-2024 21:52 146787 slidge-doc-0.1.0-r1.apk 15-Apr-2024 21:52 4408 slidge-matridge-0_git20240208-r1.apk 15-Apr-2024 21:52 30120 slidge-matridge-openrc-0_git20240208-r1.apk 15-Apr-2024 21:52 1305 slidge-matridge-pyc-0_git20240208-r1.apk 15-Apr-2024 21:52 38402 slidge-openrc-0.1.0-r1.apk 15-Apr-2024 21:52 2127 slidge-pyc-0.1.0-r1.apk 15-Apr-2024 21:52 289373 sloccount-2.26-r3.apk 18-Jan-2022 20:37 73404 sloccount-doc-2.26-r3.apk 18-Jan-2022 20:37 60793 slurm-0.4.4-r0.apk 02-May-2022 00:14 14947 slurm-doc-0.4.4-r0.apk 02-May-2022 00:14 2316 smplxmpp-0.9.3-r2.apk 29-Apr-2024 16:07 162046 smplxmpp-doc-0.9.3-r2.apk 29-Apr-2024 16:07 25204 snapper-0.10.7-r1.apk 22-Apr-2024 18:59 907217 snapper-bash-completion-0.10.7-r1.apk 22-Apr-2024 18:59 2906 snapper-dev-0.10.7-r1.apk 22-Apr-2024 18:59 10667 snapper-doc-0.10.7-r1.apk 22-Apr-2024 18:59 20908 snapper-lang-0.10.7-r1.apk 22-Apr-2024 18:59 177942 snapper-zsh-completion-0.10.7-r1.apk 22-Apr-2024 18:59 3372 snapraid-12.3-r0.apk 26-Jan-2024 02:25 267041 snapraid-doc-12.3-r0.apk 26-Jan-2024 02:25 17431 sndfile-tools-1.5-r1.apk 29-Jul-2023 20:02 50357 sndfile-tools-doc-1.5-r1.apk 29-Jul-2023 20:02 369390 snore-0.3.1-r0.apk 14-Nov-2023 19:46 4723 snore-doc-0.3.1-r0.apk 14-Nov-2023 19:46 2878 snowflake-2.9.2-r1.apk 07-Apr-2024 00:50 9660685 snowflake-doc-2.9.2-r1.apk 07-Apr-2024 00:50 2736 so-0.4.9-r1.apk 24-May-2023 07:48 1684218 soapy-bladerf-0.4.1-r0.apk 09-Jun-2022 12:34 42675 soapy-hackrf-0.3.4-r2.apk 29-Jul-2023 20:02 30410 soapy-sdr-remote-0.5.2-r1.apk 29-Jul-2023 20:02 209171 soapy-sdr-remote-doc-0.5.2-r1.apk 29-Jul-2023 20:02 2163 soapy-sdr-remote-openrc-0.5.2-r1.apk 29-Jul-2023 20:02 1490 soju-0.7.0-r2.apk 07-Apr-2024 00:50 8721107 soju-doc-0.7.0-r2.apk 07-Apr-2024 00:50 12532 soju-openrc-0.7.0-r2.apk 07-Apr-2024 00:50 1708 soju-utils-0.7.0-r2.apk 07-Apr-2024 00:50 9913926 solanum-3.0.1_git20220607-r1.apk 13-Feb-2023 14:23 1183119 solanum-lang-3.0.1_git20220607-r1.apk 13-Feb-2023 14:23 30324 somebar-1.0.3-r0.apk 04-Jun-2023 18:00 49828 somebar-doc-1.0.3-r0.apk 04-Jun-2023 18:00 2209 sopwith-2.5.0-r0.apk 05-May-2024 20:23 52476 sopwith-doc-2.5.0-r0.apk 05-May-2024 20:23 14962 soqt-1.6.0-r0.apk 23-Nov-2021 23:57 243903 soqt-dev-1.6.0-r0.apk 23-Nov-2021 23:57 100309 soqt-doc-1.6.0-r0.apk 23-Nov-2021 23:57 1566 sos-0.8-r23.apk 07-Apr-2024 00:50 2466674 soundfont-vintage-dreams-waves-2.1-r1.apk 17-Apr-2022 06:28 92742 soundfont-vintage-dreams-waves-doc-2.1-r1.apk 17-Apr-2022 06:28 1975 spacectl-0.30.0-r2.apk 07-Apr-2024 00:50 4920528 spacectl-bash-completion-0.30.0-r2.apk 07-Apr-2024 00:50 1841 spacectl-doc-0.30.0-r2.apk 07-Apr-2024 00:50 2083 spacectl-fish-completion-0.30.0-r2.apk 07-Apr-2024 00:50 5897 spacectl-zsh-completion-0.30.0-r2.apk 07-Apr-2024 00:50 1595 spacenavd-1.2-r0.apk 25-Feb-2023 14:06 34371 spampd-2.61-r1.apk 02-Oct-2022 14:57 39478 spampd-openrc-2.61-r1.apk 02-Oct-2022 14:57 2143 spark-2.8.3-r1.apk 02-Nov-2022 08:49 30331616 speedcrunch-0.12-r3.apk 16-Feb-2023 06:38 1213223 speedtest-5.2.5-r1.apk 04-Sep-2023 12:02 259296 speedtest-doc-5.2.5-r1.apk 04-Sep-2023 12:02 18577 speedtest-examples-5.2.5-r1.apk 04-Sep-2023 12:02 13013 speedtest-go-1.1.5-r7.apk 07-Apr-2024 00:50 5131899 speedtest-go-doc-1.1.5-r7.apk 07-Apr-2024 00:50 4350 speedtest-go-openrc-1.1.5-r7.apk 07-Apr-2024 00:50 1511 speedtest_exporter-0.3.2-r7.apk 07-Apr-2024 00:50 3531818 speedtest_exporter-openrc-0.3.2-r7.apk 07-Apr-2024 00:50 1682 spice-html5-0.3.0-r1.apk 10-Sep-2021 05:35 448979 spike-1.1.0-r0.apk 28-Mar-2023 17:56 1604161 spin-6.5.2-r1.apk 02-Nov-2022 08:49 377228 spin-doc-6.5.2-r1.apk 02-Nov-2022 08:49 5760 spiritvnc-0.6.0-r0.apk 18-Dec-2023 01:36 48244 spnavcfg-1.1-r0.apk 13-Feb-2023 06:41 37452 spotify-player-0.18.1-r0.apk 05-May-2024 05:25 3689528 spotify-tui-0.25.0-r2.apk 24-May-2023 07:48 2020378 spread-sheet-widget-0.8-r0.apk 13-Nov-2021 22:27 52470 spread-sheet-widget-dbg-0.8-r0.apk 13-Nov-2021 22:27 190224 spread-sheet-widget-dev-0.8-r0.apk 13-Nov-2021 22:27 363085 spread-sheet-widget-doc-0.8-r0.apk 13-Nov-2021 22:27 4486 spvm-errno-0.092-r0.apk 10-Apr-2024 23:39 18137 spvm-errno-doc-0.092-r0.apk 10-Apr-2024 23:39 5836 spvm-math-1.001-r0.apk 10-Apr-2024 23:39 24702 spvm-math-doc-1.001-r0.apk 10-Apr-2024 23:39 6052 spvm-mime-base64-1.001003-r0.apk 10-Apr-2024 23:39 18497 spvm-mime-base64-doc-1.001003-r0.apk 10-Apr-2024 23:39 5296 spvm-thread-0.001-r0.apk 10-Apr-2024 23:39 10173 spvm-thread-doc-0.001-r0.apk 10-Apr-2024 23:39 5782 sqlar-0_git20180107-r1.apk 02-Nov-2022 08:49 14474 sqlar-doc-0_git20180107-r1.apk 02-Nov-2022 08:49 3119 sqliteodbc-0.99991-r0.apk 23-Dec-2023 01:35 95256 sqlmap-1.8.4-r0.apk 15-Apr-2024 13:56 7116676 sqlmap-pyc-1.8.4-r0.apk 15-Apr-2024 13:56 1229076 sqm-scripts-1.6.0-r0.apk 22-Aug-2023 20:01 20696 squashfs-tools-ng-1.3.1-r0.apk 03-May-2024 04:48 105467 squashfs-tools-ng-dev-1.3.1-r0.apk 03-May-2024 04:48 90917 squashfs-tools-ng-doc-1.3.1-r0.apk 03-May-2024 04:48 14545 squashfs-tools-ng-libs-1.3.1-r0.apk 03-May-2024 04:48 41059 srain-1.7.0-r0.apk 01-May-2024 06:29 179175 srain-lang-1.7.0-r0.apk 01-May-2024 06:29 30636 srb2-2.2.13-r0.apk 22-Nov-2023 09:59 1895684 srb2-data-2.2.13-r0.apk 22-Nov-2023 10:00 144600568 sregex-0.0.1-r1.apk 02-Nov-2022 08:49 25279 sregex-dev-0.0.1-r1.apk 02-Nov-2022 08:49 29778 ssdfs-tools-4.09-r0.apk 13-Mar-2023 09:12 99503 ssdfs-tools-dev-4.09-r0.apk 13-Mar-2023 09:12 18634 ssh-cert-authority-2.0.0-r18.apk 07-Apr-2024 00:50 4577925 ssh-honeypot-0.1.1-r1.apk 15-May-2023 20:51 8976 ssh-honeypot-openrc-0.1.1-r1.apk 15-May-2023 20:51 1835 ssh-tools-1.8-r0.apk 16-Mar-2024 21:30 25945 sshsrv-1.0-r4.apk 07-Apr-2024 00:50 908216 sshuttle-1.1.1-r2.apk 15-Apr-2024 21:52 53036 sshuttle-pyc-1.1.1-r2.apk 15-Apr-2024 21:52 101756 ssss-0.5.7-r0.apk 29-Oct-2021 07:28 14491 ssss-doc-0.5.7-r0.apk 29-Oct-2021 07:28 3420 stardict-3.0.6-r6.apk 29-Apr-2023 21:47 1007270 stardict-doc-3.0.6-r6.apk 29-Apr-2023 21:47 2025 stardict-help-3.0.6-r6.apk 29-Apr-2023 21:47 3559750 stardict-lang-3.0.6-r6.apk 29-Apr-2023 21:47 296186 starfighter-2.4-r0.apk 08-Aug-2023 21:08 50192380 starfighter-doc-2.4-r0.apk 08-Aug-2023 21:08 21848 startup-2.0.3-r4.apk 01-Jul-2023 05:25 419552 startup-bridge-dconf-2.0.3-r4.apk 01-Jul-2023 05:25 29928 startup-bridge-udev-2.0.3-r4.apk 01-Jul-2023 05:25 29766 startup-dev-2.0.3-r4.apk 01-Jul-2023 05:25 5748 startup-doc-2.0.3-r4.apk 01-Jul-2023 05:25 48501 startup-fish-completion-2.0.3-r4.apk 01-Jul-2023 05:25 5269 startup-lang-2.0.3-r4.apk 01-Jul-2023 05:25 16713 startup-tools-2.0.3-r4.apk 01-Jul-2023 05:25 13384 stayrtr-0.5.1-r2.apk 07-Apr-2024 00:51 9569834 stayrtr-openrc-0.5.1-r2.apk 07-Apr-2024 00:51 1796 steghide-0.5.1.1-r0.apk 14-Apr-2024 08:20 160812 steghide-doc-0.5.1.1-r0.apk 14-Apr-2024 08:20 13784 stern-1.29.0-r0.apk 05-May-2024 05:25 16128348 stern-bash-completion-1.29.0-r0.apk 05-May-2024 05:25 5657 stern-fish-completion-1.29.0-r0.apk 05-May-2024 05:25 4155 stern-zsh-completion-1.29.0-r0.apk 05-May-2024 05:25 3873 stgit-1.3-r5.apk 15-Apr-2024 21:52 121374 stgit-bash-completion-1.3-r5.apk 15-Apr-2024 21:52 4557 stgit-doc-1.3-r5.apk 15-Apr-2024 21:52 51874 stgit-emacs-1.3-r5.apk 15-Apr-2024 21:52 27965 stgit-fish-completion-1.3-r5.apk 15-Apr-2024 21:52 7865 stgit-pyc-1.3-r5.apk 15-Apr-2024 21:52 242910 stgit-vim-1.3-r5.apk 15-Apr-2024 21:52 3274 stgit-zsh-completion-1.3-r5.apk 15-Apr-2024 21:52 7769 sthttpd-2.27.1-r2.apk 02-Nov-2022 08:49 65542 sthttpd-doc-2.27.1-r2.apk 02-Nov-2022 08:49 18396 sthttpd-openrc-2.27.1-r2.apk 02-Nov-2022 08:49 1731 stone-soup-0.31.0-r0.apk 07-Apr-2024 22:36 29120939 straw-viewer-0.1.3-r2.apk 04-Jul-2023 00:01 237482 straw-viewer-doc-0.1.3-r2.apk 04-Jul-2023 00:01 37231 strfry-0.9.6-r0.apk 25-Jan-2024 17:01 1758471 strfry-openrc-0.9.6-r0.apk 25-Jan-2024 17:01 1865 stubby-0.4.3-r0.apk 22-Feb-2023 16:35 22499 stubby-doc-0.4.3-r0.apk 22-Feb-2023 16:35 13174 stubby-openrc-0.4.3-r0.apk 22-Feb-2023 16:35 1557 stw-0.3-r0.apk 06-Mar-2023 14:44 8151 stw-doc-0.3-r0.apk 06-Mar-2023 14:44 2322 subdl-0_git20230616-r1.apk 15-Apr-2024 21:52 8630 subdl-pyc-0_git20230616-r1.apk 15-Apr-2024 21:52 14194 subliminal-2.1.0-r4.apk 15-Apr-2024 21:52 54077 subliminal-pyc-2.1.0-r4.apk 15-Apr-2024 21:52 107932 sudo-ldap-1.9.14-r1.apk 29-Jul-2023 20:02 711918 supermin-5.2.2-r2.apk 18-Apr-2024 11:10 549287 supermin-doc-5.2.2-r2.apk 18-Apr-2024 11:10 9322 surf-2.1-r2.apk 22-Feb-2023 21:45 23767 surf-doc-2.1-r2.apk 22-Feb-2023 21:45 4503 surfraw-2.3.0-r0.apk 01-Aug-2023 20:41 80353 surfraw-doc-2.3.0-r0.apk 01-Aug-2023 20:41 17861 suru-icon-theme-20.05.1_git20221222-r0.apk 17-Dec-2023 21:24 3098374 svgbob-0.7.2-r0.apk 09-Sep-2023 13:20 486461 svls-0.2.11-r0.apk 03-Jan-2024 19:03 3757008 svls-doc-0.2.11-r0.apk 03-Jan-2024 19:03 2039 swaks-20240103.0-r0.apk 08-Jan-2024 09:44 67408 swaks-doc-20240103.0-r0.apk 08-Jan-2024 09:44 50568 swappy-1.5.1-r0.apk 21-Nov-2022 22:23 31834 swappy-doc-1.5.1-r0.apk 21-Nov-2022 22:23 3517 swappy-lang-1.5.1-r0.apk 21-Nov-2022 22:23 3460 sway-audio-idle-inhibit-0.1.1_git20230809-r0.apk 31-Aug-2023 10:46 10403 swayhide-0.2.1-r1.apk 24-May-2023 07:48 266426 swi-prolog-9.2.4-r0.apk 29-Apr-2024 01:03 5314265 swi-prolog-doc-9.2.4-r0.apk 29-Apr-2024 01:03 2177345 swi-prolog-pyc-9.2.4-r0.apk 29-Apr-2024 01:03 22816 swi-prolog-xpce-9.2.4-r0.apk 29-Apr-2024 01:03 964732 swi-prolog-xpce-doc-9.2.4-r0.apk 29-Apr-2024 01:03 1101065 swig3-3.0.12-r3.apk 22-Apr-2024 18:59 1345293 swig3-doc-3.0.12-r3.apk 22-Apr-2024 18:59 3471 sxcs-1.0.0-r0.apk 18-Feb-2024 01:32 9171 sxcs-doc-1.0.0-r0.apk 18-Feb-2024 01:32 2463 sylpheed-imap-notify-1.1.0-r0.apk 17-Apr-2019 07:38 9061 symbiyosys-0.36-r0.apk 18-Dec-2023 21:23 38211 sympow-2.023.6-r1.apk 01-Aug-2023 12:38 125326 sympow-doc-2.023.6-r1.apk 01-Aug-2023 12:38 2877 synadm-0.46-r1.apk 15-Apr-2024 21:52 57709 synadm-pyc-0.46-r1.apk 15-Apr-2024 21:52 67950 synapse-bt-1.0-r4.apk 24-May-2023 07:48 1175359 synapse-bt-cli-1.0-r4.apk 24-May-2023 07:48 1080821 synapse-bt-openrc-1.0-r4.apk 24-May-2023 07:48 1593 syncthing-gtk-0.9.4.5-r1.apk 15-Apr-2024 21:52 434639 syncthing-gtk-doc-0.9.4.5-r1.apk 15-Apr-2024 21:52 2011 syncthing-gtk-pyc-0.9.4.5-r1.apk 15-Apr-2024 21:52 225668 sysls-2-r1.apk 15-Apr-2024 21:52 5903 t2sz-1.1.2-r0.apk 16-Apr-2023 01:42 9274 tabby-3.1-r1.apk 25-Nov-2023 23:42 36688 tabby-doc-3.1-r1.apk 25-Nov-2023 23:42 2040 tachyon-0.99_beta6-r1.apk 29-Mar-2024 11:24 121362 tachyon-scenes-0.99_beta6-r1.apk 29-Mar-2024 11:24 2026792 tailspin-3.0.0-r0.apk 03-Feb-2024 22:36 1266569 tailspin-bash-completion-3.0.0-r0.apk 03-Feb-2024 22:36 2019 tailspin-doc-3.0.0-r0.apk 03-Feb-2024 22:36 2500 tailspin-fish-completion-3.0.0-r0.apk 03-Feb-2024 22:36 1843 tailspin-zsh-completion-3.0.0-r0.apk 03-Feb-2024 22:36 2257 tang-14-r0.apk 23-Jul-2023 13:56 16761 tang-dbg-14-r0.apk 23-Jul-2023 13:56 30001 tang-doc-14-r0.apk 23-Jul-2023 13:56 20839 tang-openrc-14-r0.apk 23-Jul-2023 13:56 1743 tangctl-0_git20220412-r15.apk 07-Apr-2024 00:51 2329566 tangram-3.0-r1.apk 29-Apr-2023 21:47 34106 tangram-lang-3.0-r1.apk 29-Apr-2023 21:47 37144 tanidvr-1.4.1-r1.apk 14-Oct-2022 16:16 23187 tanidvr-dhav2mkv-1.4.1-r1.apk 14-Oct-2022 16:16 11643 tanka-0.26.0-r4.apk 07-Apr-2024 00:51 4135541 tartube-2.5.0-r0.apk 11-Jan-2024 22:04 1482160 tartube-pyc-2.5.0-r0.apk 11-Jan-2024 22:04 1173607 taskcafe-0.3.6-r5.apk 07-Apr-2024 00:51 13422503 taskcafe-openrc-0.3.6-r5.apk 07-Apr-2024 00:51 1635 tayga-0.9.2-r0.apk 23-Jan-2023 07:27 24176 tayga-doc-0.9.2-r0.apk 23-Jan-2023 07:27 5434 tcl-curl-7.22.0-r0.apk 18-Jan-2023 19:33 32632 tcl-curl-doc-7.22.0-r0.apk 18-Jan-2023 19:33 38292 tcmu-runner-1.6.0-r5.apk 01-Sep-2023 08:03 92723 tcmu-runner-doc-1.6.0-r5.apk 01-Sep-2023 08:03 2364 tcmu-runner-rbd-1.6.0-r5.apk 01-Sep-2023 08:03 13597 tdrop-0.5.0-r0.apk 05-May-2022 20:56 12108 tdrop-doc-0.5.0-r0.apk 05-May-2022 20:56 9066 tealdeer-1.6.1-r2.apk 01-Jul-2023 05:25 969419 tealdeer-bash-completion-1.6.1-r2.apk 01-Jul-2023 05:25 1785 tealdeer-fish-completion-1.6.1-r2.apk 01-Jul-2023 05:25 2001 tealdeer-zsh-completion-1.6.1-r2.apk 01-Jul-2023 05:25 2164 teapot-tools-0.4.2-r2.apk 15-Apr-2024 14:26 2231930 telegram-tdlib-1.8.9-r1.apk 15-May-2023 20:51 5994792 telegram-tdlib-dev-1.8.9-r1.apk 15-May-2023 20:51 123445 telegram-tdlib-doc-1.8.9-r1.apk 15-May-2023 20:51 4407 telegram-tdlib-static-1.8.9-r1.apk 15-May-2023 20:52 16680386 templ-0.2.663-r0.apk 04-May-2024 11:32 3687922 tere-1.5.1-r0.apk 26-Aug-2023 16:39 1068875 tere-doc-1.5.1-r0.apk 26-Aug-2023 16:39 13863 termbox-1.1.2-r1.apk 15-May-2023 20:52 12239 termbox-dev-1.1.2-r1.apk 15-May-2023 20:52 5604 termbox-static-1.1.2-r1.apk 15-May-2023 20:52 14719 termcolor-2.1.0-r0.apk 02-Nov-2022 08:49 1238 termcolor-dev-2.1.0-r0.apk 02-Nov-2022 08:49 6732 terminalpp-0.8.4-r0.apk 12-Oct-2022 19:47 450805 terminalpp-ropen-0.8.4-r0.apk 12-Oct-2022 19:47 60178 termusic-mpv-0.7.11-r0.apk 06-Sep-2023 15:47 6626194 texlab-5.15.0-r0.apk 26-Apr-2024 15:33 9132764 thanos-0.31.0-r5.apk 07-Apr-2024 00:51 20587486 thanos-openrc-0.31.0-r5.apk 07-Apr-2024 00:51 1760 theforceengine-1.09.540-r1.apk 12-Feb-2024 16:05 7340787 theforceengine-doc-1.09.540-r1.apk 12-Feb-2024 16:05 6631146 thefuck-3.32-r3.apk 15-Apr-2024 21:52 75857 thefuck-pyc-3.32-r3.apk 15-Apr-2024 21:52 159183 thelounge-4.4.1-r0.apk 07-Aug-2023 05:56 17236676 thelounge-openrc-4.4.1-r0.apk 07-Aug-2023 05:56 1489 theme.sh-1.1.5-r0.apk 05-Sep-2023 09:47 39747 theme.sh-doc-1.1.5-r0.apk 05-Sep-2023 09:47 2109 thumbdrives-0.3.2-r2.apk 03-May-2024 22:53 10941 thunarx-python-0.5.2-r2.apk 16-Apr-2024 16:34 10312 thunarx-python-doc-0.5.2-r2.apk 16-Apr-2024 16:34 25542 tick-1.1.0-r0.apk 27-Apr-2024 10:53 10598 tick-doc-1.1.0-r0.apk 27-Apr-2024 10:53 5372 ticker-4.5.14-r5.apk 07-Apr-2024 00:51 3653769 ticker-bash-completion-4.5.14-r5.apk 07-Apr-2024 00:51 4428 ticker-fish-completion-4.5.14-r5.apk 07-Apr-2024 00:51 3719 ticker-zsh-completion-4.5.14-r5.apk 07-Apr-2024 00:51 3560 tillitis-key1-apps-0.0.6-r6.apk 07-Apr-2024 00:51 5349442 tillitis-key1-apps-doc-0.0.6-r6.apk 07-Apr-2024 00:51 12327 time-1.9-r1.apk 02-Nov-2022 08:49 12095 time-doc-1.9-r1.apk 02-Nov-2022 08:49 15106 timeshift-24.01.1-r0.apk 09-Mar-2024 17:02 484099 timeshift-doc-24.01.1-r0.apk 09-Mar-2024 17:02 2988 timeshift-lang-24.01.1-r0.apk 09-Mar-2024 17:02 761764 timew-1.4.3-r1.apk 02-Nov-2022 08:49 274459 timew-bash-completion-1.4.3-r1.apk 02-Nov-2022 08:49 2564 timew-doc-1.4.3-r1.apk 02-Nov-2022 08:49 54159 timewarrior-1.7.1-r0.apk 17-Jan-2024 22:56 283893 timewarrior-doc-1.7.1-r0.apk 17-Jan-2024 22:56 22467 tintin-2.02.31-r0.apk 26-Aug-2023 11:00 1905585 tinyscheme-1.42-r1.apk 14-Oct-2022 16:16 68197 tkts-2.0-r0.apk 02-Dec-2023 12:06 17772 tldr-python-client-3.2.0-r1.apk 15-Apr-2024 21:52 10849 tldr-python-client-doc-3.2.0-r1.apk 15-Apr-2024 21:52 3309 tldr-python-client-pyc-3.2.0-r1.apk 15-Apr-2024 21:52 13582 tmate-2.4.0-r4.apk 05-Mar-2023 02:20 317169 tmate-doc-2.4.0-r4.apk 05-Mar-2023 02:20 73174 tmpl-0.4.0-r3.apk 07-Apr-2024 00:51 2390736 tmpl-doc-0.4.0-r3.apk 07-Apr-2024 00:51 2054 tmpmail-1.2.3-r2.apk 01-Nov-2023 10:13 6924 tmpmail-doc-1.2.3-r2.apk 01-Nov-2023 10:13 3058 tmux-resurrect-4.0.0-r0.apk 21-Sep-2022 08:37 14185 tmux-resurrect-doc-4.0.0-r0.apk 21-Sep-2022 08:37 8595 tncattach-0.1.9-r1.apk 02-Nov-2022 08:49 24044 tncattach-doc-0.1.9-r1.apk 02-Nov-2022 08:49 3735 tnef-1.4.18-r0.apk 01-Apr-2024 18:10 26235 tnef-doc-1.4.18-r0.apk 01-Apr-2024 18:10 4057 toapk-1.0-r0.apk 02-Dec-2023 12:04 10975 today-6.1.4-r0.apk 18-Dec-2023 14:10 2963 today-doc-6.1.4-r0.apk 18-Dec-2023 14:10 3009 toml2json-1.3.1-r0.apk 05-Aug-2023 10:41 399850 toml2json-doc-1.3.1-r0.apk 05-Aug-2023 10:41 3118 tootik-0.10.4-r0.apk 01-May-2024 07:39 4499251 tootik-openrc-0.10.4-r0.apk 01-May-2024 07:39 2745 topgit-0.19.13-r1.apk 02-Nov-2022 08:49 129426 topgit-bash-completion-0.19.13-r1.apk 02-Nov-2022 08:49 3857 topgit-doc-0.19.13-r1.apk 02-Nov-2022 08:49 74920 torrent-file-editor-0.3.18-r0.apk 27-Jun-2023 21:52 378622 toss-1.1-r0.apk 27-Feb-2022 20:45 14297 toybox-0.8.11-r0.apk 29-Apr-2024 21:08 288720 tpm2-pkcs11-1.9.0-r1.apk 22-Apr-2023 10:54 132429 tpm2-pkcs11-dev-1.9.0-r1.apk 22-Apr-2023 10:54 1661 tpm2-pkcs11-pyc-1.9.0-r1.apk 22-Apr-2023 10:54 76459 tpm2-tools-5.6-r0.apk 01-Feb-2024 01:07 237137 tpm2-tools-bash-completion-5.6-r0.apk 01-Feb-2024 01:07 15165 tpm2-tools-doc-5.6-r0.apk 01-Feb-2024 01:07 510031 tpp-bypass-0.8.4-r0.apk 12-Oct-2022 19:47 13896 transmission-remote-gtk-1.6.0-r0.apk 20-Jun-2023 16:08 157078 transmission-remote-gtk-doc-1.6.0-r0.apk 20-Jun-2023 16:08 4058 transmission-remote-gtk-lang-1.6.0-r0.apk 20-Jun-2023 16:08 108284 trantor-1.5.18-r0.apk 05-May-2024 05:25 250477 trantor-dev-1.5.18-r0.apk 05-May-2024 05:25 34552 trantor-doc-1.5.18-r0.apk 05-May-2024 05:25 2409 tre-0.8.0-r2.apk 15-May-2023 20:52 28912 tre-dev-0.8.0-r2.apk 15-May-2023 20:52 5058 tre-static-0.8.0-r2.apk 15-May-2023 20:52 31482 tree-sitter-c-sharp-0.21.1-r0.apk 04-May-2024 11:09 341803 tree-sitter-caddy-0_git20230322-r0.apk 16-Dec-2023 02:06 74365 tree-sitter-caddy-doc-0_git20230322-r0.apk 16-Dec-2023 02:06 2076 tree-sitter-clojure-0.0.12-r0.apk 12-Jan-2024 13:03 23128 tree-sitter-dart-0_git20230123-r1.apk 15-Nov-2023 21:54 93210 tree-sitter-elixir-0.2.0-r0.apk 28-Apr-2024 09:38 221997 tree-sitter-git-commit-0_git20211225-r2.apk 15-Nov-2023 21:54 13294 tree-sitter-git-diff-0_git20230730-r0.apk 16-Nov-2023 23:18 10360 tree-sitter-git-rebase-0_git20220110-r2.apk 15-Nov-2023 21:54 7546 tree-sitter-hare-0_git20230616-r1.apk 15-Nov-2023 21:54 33979 tree-sitter-hcl-1.1.0-r1.apk 15-Nov-2023 21:54 46475 tree-sitter-just-0_git20230318-r0.apk 23-Dec-2023 01:35 14900 tree-sitter-kotlin-0.3.5-r0.apk 15-Mar-2024 06:19 344000 tree-sitter-make-0_git20211216-r2.apk 15-Nov-2023 21:54 43042 tree-sitter-markdown-0.2.3-r0.apk 22-Mar-2024 22:10 131765 tree-sitter-markdown-doc-0.2.3-r0.apk 22-Mar-2024 22:10 2068 tree-sitter-nix-0_git20230713-r0.apk 19-Nov-2023 02:32 23331 tree-sitter-nix-doc-0_git20230713-r0.apk 19-Nov-2023 02:32 2052 tree-sitter-ron-0_git20220508-r1.apk 15-Nov-2023 21:54 29245 tree-sitter-scheme-0.6.0-r0.apk 20-Nov-2023 00:29 23438 tree-sitter-scheme-doc-0.6.0-r0.apk 20-Nov-2023 00:29 2048 tree-sitter-ssh-client-config-2024.5.2-r0.apk 03-May-2024 19:48 83905 tree-sitter-xml-0.6.2-r0.apk 25-Mar-2024 19:57 35425 tree-sitter-xml-doc-0.6.2-r0.apk 25-Mar-2024 19:57 2068 tremc-0.9.3-r0.apk 18-Mar-2022 19:20 49363 tremc-bash-completion-0.9.3-r0.apk 18-Mar-2022 19:20 1724 tremc-doc-0.9.3-r0.apk 18-Mar-2022 19:20 2683 tremc-zsh-completion-0.9.3-r0.apk 18-Mar-2022 19:20 1645 trigger-rally-0.6.7-r2.apk 02-Jan-2024 08:54 322287 trigger-rally-data-0.6.7-r2.apk 02-Jan-2024 08:55 369156123 trigger-rally-doc-0.6.7-r2.apk 02-Jan-2024 08:55 28826 trippy-0.10.0-r0.apk 05-Apr-2024 22:46 2015545 trippy-bash-completion-0.10.0-r0.apk 05-Apr-2024 22:46 2953 trippy-zsh-completion-0.10.0-r0.apk 05-Apr-2024 22:46 4489 trivy-0.50.4-r0.apk 26-Apr-2024 13:28 68622851 trunk-0.20.0-r0.apk 03-May-2024 22:31 4972670 tsung-1.8.0-r2.apk 19-Dec-2023 08:09 747424 tty-clock-2.3_git20240104-r0.apk 19-Jan-2024 03:13 8639 tty-clock-doc-2.3_git20240104-r0.apk 19-Jan-2024 03:13 2927 tty-proxy-0.0.2-r20.apk 07-Apr-2024 00:51 2325308 tty-share-2.4.0-r10.apk 07-Apr-2024 00:51 3375711 ttyper-1.4.1-r0.apk 03-Feb-2024 09:34 615460 tui-journal-0.8.0-r0.apk 10-Feb-2024 02:51 2108766 tui-journal-doc-0.8.0-r0.apk 10-Feb-2024 02:51 6536 tup-0.7.11-r0.apk 06-Mar-2023 13:17 252595 tup-doc-0.7.11-r0.apk 06-Mar-2023 13:17 21094 tup-vim-0.7.11-r0.apk 06-Mar-2023 13:17 2365 tuptime-5.2.2-r3.apk 25-Jun-2023 11:02 13642 tuptime-doc-5.2.2-r3.apk 25-Jun-2023 11:02 3610 tuptime-openrc-5.2.2-r3.apk 25-Jun-2023 11:02 1528 turn-rs-2.1.3-r0.apk 18-Apr-2024 14:04 1243370 turn-rs-balance-2.1.3-r0.apk 18-Apr-2024 14:04 564514 turn-rs-cli-2.1.3-r0.apk 18-Apr-2024 14:04 956938 turn-rs-doc-2.1.3-r0.apk 18-Apr-2024 14:04 13608 turn-rs-openrc-2.1.3-r0.apk 18-Apr-2024 14:04 1768 turnstile-0.1.8-r0.apk 03-Sep-2023 04:03 36079 turnstile-doc-0.1.8-r0.apk 03-Sep-2023 04:03 5643 turnstile-openrc-0.1.8-r0.apk 03-Sep-2023 04:03 1605 twemproxy-0.5.0-r0.apk 30-Apr-2022 08:20 72486 twemproxy-doc-0.5.0-r0.apk 30-Apr-2022 08:20 17619 twiggy-0.6.0-r3.apk 24-May-2023 07:48 843433 twinkle-1.10.3-r2.apk 29-Apr-2023 21:47 2479053 twinkle-doc-1.10.3-r2.apk 29-Apr-2023 21:47 3373 typescript-5.4.4-r0.apk 08-Apr-2024 05:46 5839151 typst-lsp-0.13.0-r0.apk 16-Mar-2024 17:07 13361484 u1db-qt-0.1.7-r0.apk 17-Dec-2023 21:24 101052 uasm-2.56.2-r0.apk 23-Oct-2023 02:07 288789 ubase-20200605-r2.apk 02-Nov-2022 08:49 46283 ubase-doc-20200605-r2.apk 02-Nov-2022 08:49 21529 ubuntu-archive-keyring-2023.11.28.1-r0.apk 29-Nov-2023 08:19 16284 ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk 29-Nov-2023 08:19 2072 uclient-20210514-r0.apk 09-Jun-2022 01:01 13965 uclient-dev-20210514-r0.apk 09-Jun-2022 01:01 3264 uclient-fetch-20210514-r0.apk 09-Jun-2022 01:01 10079 ucspi-tcp-0.88-r2.apk 02-Nov-2022 08:49 123534 udpcast-20230924-r1.apk 16-Apr-2024 11:27 44517 udpcast-dev-20230924-r1.apk 16-Apr-2024 11:27 2328 udpcast-doc-20230924-r1.apk 16-Apr-2024 11:27 12204 udpt-3.1.2-r0.apk 26-May-2023 21:46 766807 udpt-openrc-3.1.2-r0.apk 26-May-2023 21:46 1579 ueberzug-18.2.2-r1.apk 15-Apr-2024 21:52 64880 ueberzug-pyc-18.2.2-r1.apk 15-Apr-2024 21:52 65432 uefitool-0.28.0-r1.apk 02-Nov-2022 08:49 416781 undock-0.7.0-r2.apk 07-Apr-2024 00:51 9445894 unit-php81-1.32.1-r0.apk 10-Apr-2024 16:32 33001 up-0.4-r20.apk 07-Apr-2024 00:51 1062253 upterm-0.13.2-r2.apk 07-Apr-2024 00:51 5755477 upterm-bash-completion-0.13.2-r2.apk 07-Apr-2024 00:51 5396 upterm-doc-0.13.2-r2.apk 07-Apr-2024 00:51 6426 upterm-server-0.13.2-r2.apk 07-Apr-2024 00:51 5067272 upterm-server-openrc-0.13.2-r2.apk 07-Apr-2024 00:51 1704 upterm-zsh-completion-0.13.2-r2.apk 07-Apr-2024 00:51 3893 uranium-5.2.2-r3.apk 15-Apr-2024 21:52 609570 usbguard-1.1.2-r8.apk 03-Jan-2024 19:29 104481 usbguard-bash-completion-1.1.2-r8.apk 03-Jan-2024 19:29 4445 usbguard-dev-1.1.2-r8.apk 03-Jan-2024 19:29 31569 usbguard-doc-1.1.2-r8.apk 03-Jan-2024 19:29 14758 usbguard-notifier-0.1.0-r0.apk 09-Feb-2023 00:59 31905 usbguard-notifier-doc-0.1.0-r0.apk 09-Feb-2023 00:59 4785 usbguard-openrc-1.1.2-r8.apk 03-Jan-2024 19:29 1525 usbguard-zsh-completion-1.1.2-r8.apk 03-Jan-2024 19:29 2929 usbmuxd-1.1.1-r6.apk 05-Feb-2024 19:32 35944 usbmuxd-doc-1.1.1-r6.apk 05-Feb-2024 19:32 2802 usql-0.15.6-r4.apk 07-Apr-2024 00:51 29311596 ustr-1.0.4-r1.apk 15-May-2023 20:52 60038 ustr-debug-1.0.4-r1.apk 15-May-2023 20:52 79224 ustr-dev-1.0.4-r1.apk 15-May-2023 20:52 93378 ustr-doc-1.0.4-r1.apk 15-May-2023 20:52 98632 ustr-static-1.0.4-r1.apk 15-May-2023 20:52 184023 ustream-ssl-20220116-r1.apk 04-Aug-2022 14:28 7828 ustream-ssl-dev-20220116-r1.apk 04-Aug-2022 14:28 2628 utop-2.9.1-r4.apk 04-Apr-2024 10:21 13925991 utop-common-2.9.1-r4.apk 04-Apr-2024 10:21 1828 utop-doc-2.9.1-r4.apk 04-Apr-2024 10:21 5616 utop-emacs-2.9.1-r4.apk 04-Apr-2024 10:21 12876 utop-full-2.9.1-r4.apk 04-Apr-2024 10:21 13930478 uucp-1.07-r5.apk 19-Jun-2023 18:40 512870 uucp-doc-1.07-r5.apk 19-Jun-2023 18:40 120681 uxn-1.0-r0.apk 23-Mar-2024 15:22 48365 uxn-doc-1.0-r0.apk 23-Mar-2024 15:22 4016 vale-3.4.2-r0.apk 01-May-2024 12:52 8319204 vale-doc-3.4.2-r0.apk 01-May-2024 12:52 2044 vals-0.35.0-r2.apk 07-Apr-2024 00:52 19023878 varnish-modules-0.23.0-r1.apk 12-Dec-2023 06:41 55367 varnish-modules-doc-0.23.0-r1.apk 12-Dec-2023 06:41 21619 vbindiff-3.0_beta5-r1.apk 02-Nov-2022 08:49 20816 vbindiff-doc-3.0_beta5-r1.apk 02-Nov-2022 08:49 5311 vcdimager-2.0.1-r3.apk 29-Apr-2023 21:47 500618 vcdimager-dev-2.0.1-r3.apk 29-Apr-2023 21:47 133744 vcdimager-doc-2.0.1-r3.apk 29-Apr-2023 21:47 76169 vcsh-2.0.5-r0.apk 08-Jun-2023 21:49 8740 vcsh-bash-completion-2.0.5-r0.apk 08-Jun-2023 21:49 2725 vcsh-doc-2.0.5-r0.apk 08-Jun-2023 21:49 27580 vcsh-zsh-completion-2.0.5-r0.apk 08-Jun-2023 21:49 2732 vcstool-0.3.0-r5.apk 15-Apr-2024 21:52 35371 vcstool-bash-completion-0.3.0-r5.apk 15-Apr-2024 21:52 1534 vcstool-pyc-0.3.0-r5.apk 15-Apr-2024 21:52 58844 vcstool-tcsh-completion-0.3.0-r5.apk 15-Apr-2024 21:52 1410 vcstool-zsh-completion-0.3.0-r5.apk 15-Apr-2024 21:52 1503 vectoroids-1.1.0-r1.apk 05-Jan-2022 22:07 289320 vectoroids-doc-1.1.0-r1.apk 05-Jan-2022 22:07 2186 venc-3.1.1-r1.apk 15-Apr-2024 21:52 161374 venc-pyc-3.1.1-r1.apk 15-Apr-2024 21:52 117330 vera++-1.3.0-r10.apk 22-Apr-2024 18:59 265644 vfd-configurations-0_git20230612-r0.apk 12-Jun-2023 22:33 25129 vice-3.8-r0.apk 11-Jan-2024 22:51 13531412 vidcutter-6.0.5.1-r5.apk 22-Apr-2023 10:54 2894502 vidcutter-doc-6.0.5.1-r5.apk 22-Apr-2023 10:54 24269 vidcutter-pyc-6.0.5.1-r5.apk 22-Apr-2023 10:54 2008287 video-trimmer-0.8.2-r0.apk 09-Oct-2023 14:56 835391 video-trimmer-lang-0.8.2-r0.apk 09-Oct-2023 14:56 85359 viewnior-1.8-r1.apk 15-Jun-2023 06:35 77426 viewnior-doc-1.8-r1.apk 15-Jun-2023 06:35 1881 viewnior-lang-1.8-r1.apk 15-Jun-2023 06:35 86926 vile-9.8z-r0.apk 26-Jan-2024 02:26 2303369 vile-doc-9.8z-r0.apk 26-Jan-2024 02:26 15089 vim-airline-0.11-r0.apk 30-May-2021 14:23 88467 vim-airline-doc-0.11-r0.apk 30-May-2021 14:23 12417 vim-rust-305-r0.apk 28-Mar-2017 19:33 20895 virtctl-1.2.0-r0.apk 04-May-2024 11:32 12527836 virtctl-bash-completion-1.2.0-r0.apk 04-May-2024 11:32 4833 virtctl-fish-completion-1.2.0-r0.apk 04-May-2024 11:32 3729 virtctl-zsh-completion-1.2.0-r0.apk 04-May-2024 11:32 3819 virter-0.27.0-r0.apk 03-May-2024 22:48 5328595 virter-bash-completion-0.27.0-r0.apk 03-May-2024 22:48 4920 virter-doc-0.27.0-r0.apk 03-May-2024 22:48 15474 virter-fish-completion-0.27.0-r0.apk 03-May-2024 22:48 4159 virter-zsh-completion-0.27.0-r0.apk 03-May-2024 22:48 3876 virtme-ng-1.23-r1.apk 15-Apr-2024 21:52 168502 virtme-ng-bash-completion-1.23-r1.apk 15-Apr-2024 21:52 2533 virtualgl-3.1-r0.apk 20-Jun-2023 21:32 2083847 virtualgl-dev-3.1-r0.apk 20-Jun-2023 21:32 6051 virtualgl-doc-3.1-r0.apk 20-Jun-2023 21:32 348808 visidata-2.11.1-r2.apk 15-Apr-2024 21:52 254058 visidata-doc-2.11.1-r2.apk 15-Apr-2024 21:52 15978 visidata-pyc-2.11.1-r2.apk 15-Apr-2024 21:52 509077 visidata-zsh-completion-2.11.1-r2.apk 15-Apr-2024 21:52 7080 visurf-0.0.0_git20220301-r1.apk 04-Aug-2022 06:46 1852952 vit-2.3.2-r1.apk 15-Apr-2024 21:52 82142 vit-pyc-2.3.2-r1.apk 15-Apr-2024 21:52 154850 vivid-0.9.0-r1.apk 24-May-2023 07:48 335451 vkbasalt-0.3.2.10-r0.apk 18-Jan-2024 23:40 419681 vkbasalt-doc-0.3.2.10-r0.apk 18-Jan-2024 23:40 2885 vmtouch-1.3.1-r0.apk 28-Mar-2023 12:18 13161 vmtouch-doc-1.3.1-r0.apk 28-Mar-2023 12:18 7962 voikko-fi-2.5-r0.apk 13-Jun-2023 17:29 1638134 volatility3-2.5.2-r1.apk 15-Apr-2024 21:52 458170 volatility3-doc-2.5.2-r1.apk 15-Apr-2024 21:52 2963 volatility3-pyc-2.5.2-r1.apk 15-Apr-2024 21:52 840194 volumeicon-0.5.1-r1.apk 02-Nov-2022 08:49 43975 volumeicon-lang-0.5.1-r1.apk 02-Nov-2022 08:49 3583 vym-2.9.26-r0.apk 23-Dec-2023 01:35 2932965 vym-doc-2.9.26-r0.apk 23-Dec-2023 01:35 3555108 w_scan2-1.0.15-r0.apk 04-Jan-2024 08:02 132919 w_scan2-doc-1.0.15-r0.apk 04-Jan-2024 08:02 3968 wabt-1.0.34-r0.apk 30-Oct-2023 23:12 5217564 wabt-doc-1.0.34-r0.apk 30-Oct-2023 23:12 13781 warp-s3-0.8.0-r3.apk 07-Apr-2024 00:52 5923856 warpinator-1.8.3-r0.apk 10-Feb-2024 08:41 207039 warpinator-lang-1.8.3-r0.apk 10-Feb-2024 08:41 178297 warpinator-nemo-1.8.3-r0.apk 10-Feb-2024 08:41 3531 watchbind-0.2.1-r0.apk 22-Jan-2024 11:35 1218306 watchbind-doc-0.2.1-r0.apk 22-Jan-2024 11:35 6483 watchdog-5.16-r1.apk 13-Mar-2023 09:12 49455 watchdog-doc-5.16-r1.apk 13-Mar-2023 09:12 14318 watchmate-0.5.1-r1.apk 19-Dec-2023 16:08 2577060 watershot-0.2.0-r0.apk 04-Jul-2023 00:01 1840648 way-displays-1.8.1-r2.apk 11-Sep-2023 09:14 86379 way-displays-doc-1.8.1-r2.apk 11-Sep-2023 09:14 3937 wayfire-0.8.1-r0.apk 15-Mar-2024 06:19 2561150 wayfire-dev-0.8.1-r0.apk 15-Mar-2024 06:19 133350 wayfire-doc-0.8.1-r0.apk 15-Mar-2024 06:19 2571 wayfire-plugins-extra-0.8.1-r0.apk 15-Mar-2024 22:53 620672 waylevel-1.0.0-r1.apk 24-May-2023 07:48 333385 waynergy-0.0.16-r0.apk 28-May-2023 21:21 51532 wayqt-0.2.0-r0.apk 30-Dec-2023 13:27 107285 wayqt-dev-0.2.0-r0.apk 30-Dec-2023 13:27 17998 wbg-1.1.0-r0.apk 02-Nov-2022 08:49 13769 wch-isp-0.4.1-r1.apk 19-Jan-2024 18:40 10884 wch-isp-doc-0.4.1-r1.apk 19-Jan-2024 18:40 2478 wch-isp-udev-rules-0.4.1-r1.apk 19-Jan-2024 18:40 1423 wcm-0.8.0-r0.apk 25-Nov-2023 23:42 376487 weggli-0.2.4-r1.apk 24-May-2023 07:48 873640 welle-cli-2.4-r5.apk 24-Apr-2024 20:50 298665 welle-io-2.4-r5.apk 24-Apr-2024 20:50 462435 welle-io-doc-2.4-r5.apk 24-Apr-2024 20:50 3859 wf-config-0.8.0-r0.apk 25-Nov-2023 23:42 107073 wf-config-dev-0.8.0-r0.apk 25-Nov-2023 23:42 16648 wf-shell-0.8.1-r0.apk 15-Mar-2024 06:19 6367636 wf-shell-dev-0.8.1-r0.apk 15-Mar-2024 06:19 1489 wf-shell-doc-0.8.1-r0.apk 15-Mar-2024 06:19 2003 wgcf-2.2.22-r0.apk 04-May-2024 11:23 3710258 wgcf-bash-completion-2.2.22-r0.apk 04-May-2024 11:23 4912 wgcf-fish-completion-2.2.22-r0.apk 04-May-2024 11:23 4145 wgcf-zsh-completion-2.2.22-r0.apk 04-May-2024 11:23 3863 wget2-2.1.0-r0.apk 04-Sep-2023 09:48 116867 wget2-dbg-2.1.0-r0.apk 04-Sep-2023 09:48 797589 wget2-dev-2.1.0-r0.apk 04-Sep-2023 09:48 1059510 wget2-doc-2.1.0-r0.apk 04-Sep-2023 09:48 71876 wget2-libs-2.1.0-r0.apk 04-Sep-2023 09:48 221912 whipper-0.10.0-r5.apk 15-Apr-2024 21:52 116043 whipper-pyc-0.10.0-r5.apk 15-Apr-2024 21:52 189230 wiki-tui-0.8.2-r0.apk 12-Aug-2023 06:07 1635631 wiki-tui-doc-0.8.2-r0.apk 12-Aug-2023 06:07 4467 wireguard-go-0.0.20230223-r4.apk 07-Apr-2024 00:52 1087615 wireguard-go-doc-0.0.20230223-r4.apk 07-Apr-2024 00:52 3427 wiremapper-0.10.0-r0.apk 26-Apr-2022 20:41 22173 witchery-0.0.3-r2.apk 26-Jul-2022 07:52 3278 wk-adblock-0.0.4-r5.apk 24-May-2023 07:48 185564 wk-adblock-doc-0.0.4-r5.apk 24-May-2023 07:48 1877 wl-clipboard-x11-5-r3.apk 02-Nov-2022 08:49 3229 wl-clipboard-x11-doc-5-r3.apk 02-Nov-2022 08:49 2741 wl-gammarelay-0.1.1-r6.apk 07-Apr-2024 00:52 1498895 wlavu-0_git20201101-r1.apk 02-Nov-2022 08:49 12850 wlclock-1.0.1-r0.apk 31-Dec-2021 00:27 17672 wlclock-doc-1.0.1-r0.apk 31-Dec-2021 00:27 3369 wlopm-0.1.0-r0.apk 28-Jun-2022 15:08 7323 wlopm-doc-0.1.0-r0.apk 28-Jun-2022 15:08 2065 wlrobs-1.0-r4.apk 08-Jan-2023 04:43 19757 wlroots0.12-0.12.0-r1.apk 02-Sep-2022 18:55 262682 wlroots0.12-dbg-0.12.0-r1.apk 02-Sep-2022 18:55 982027 wlroots0.12-dev-0.12.0-r1.apk 02-Sep-2022 18:55 62151 wlroots0.15-0.15.1-r6.apk 03-Jul-2023 16:21 304939 wlroots0.15-dbg-0.15.1-r6.apk 03-Jul-2023 16:21 1231591 wlroots0.15-dev-0.15.1-r6.apk 03-Jul-2023 16:21 71866 wlvncc-0.0.0_git20230105-r0.apk 28-Feb-2023 00:05 70569 wmctrl-1.07-r1.apk 18-Feb-2022 14:45 14415 wmctrl-doc-1.07-r1.apk 18-Feb-2022 14:45 5225 wmi-client-1.3.16-r4.apk 02-Nov-2022 08:49 2589130 wmutils-1.7-r1.apk 15-Oct-2023 13:20 57786 wmutils-doc-1.7-r1.apk 15-Oct-2023 13:20 8517 wok-3.0.0-r6.apk 15-Apr-2024 21:52 160094 wok-doc-3.0.0-r6.apk 15-Apr-2024 21:52 3490 wok-lang-3.0.0-r6.apk 15-Apr-2024 21:52 16161 wok-pyc-3.0.0-r6.apk 15-Apr-2024 21:52 121258 wol-0.7.1-r2.apk 17-Aug-2023 17:12 26616 wol-doc-0.7.1-r2.apk 17-Aug-2023 17:12 5410 wol-lang-0.7.1-r2.apk 17-Aug-2023 17:12 8104 wolfssh-1.4.17-r0.apk 02-Apr-2024 07:53 141327 wolfssh-dev-1.4.17-r0.apk 02-Apr-2024 07:53 177922 woodpecker-2.3.0-r3.apk 07-Apr-2024 09:10 45308156 woodpecker-doc-2.3.0-r3.apk 07-Apr-2024 09:10 5410 woodpecker-openrc-2.3.0-r3.apk 07-Apr-2024 09:10 1739 wordgrinder-0.8-r1.apk 02-Nov-2022 08:49 504930 wordgrinder-doc-0.8-r1.apk 02-Nov-2022 08:49 18575 wpa_actiond-1.4-r7.apk 02-Nov-2022 08:49 10509 wpa_actiond-openrc-1.4-r7.apk 02-Nov-2022 08:49 2007 wpaperd-0.3.0-r2.apk 01-Jul-2023 05:25 1073428 wpaperd-bash-completion-0.3.0-r2.apk 01-Jul-2023 05:25 1750 wpaperd-doc-0.3.0-r2.apk 01-Jul-2023 05:25 2954 wpaperd-fish-completion-0.3.0-r2.apk 01-Jul-2023 05:25 1559 wpaperd-zsh-completion-0.3.0-r2.apk 01-Jul-2023 05:25 1782 wput-0.6.2-r4.apk 14-Oct-2022 16:16 41539 wput-doc-0.6.2-r4.apk 14-Oct-2022 16:16 8444 wroomd-0.1.0-r0.apk 06-Oct-2023 06:01 1095672 wroomd-openrc-0.1.0-r0.apk 06-Oct-2023 06:01 1479 wshowkeys-1.0-r0.apk 20-Feb-2022 16:32 14991 wsmancli-2.6.2-r0.apk 12-Jan-2023 15:57 20528 wsmancli-doc-2.6.2-r0.apk 12-Jan-2023 15:57 3521 wtfutil-0.43.0-r5.apk 07-Apr-2024 00:52 18951008 x11-calc-0.14.0147-r0.apk 16-Apr-2024 11:27 681958 x11docker-7.6.0-r1.apk 19-Dec-2023 15:01 115899 x11docker-doc-7.6.0-r1.apk 19-Dec-2023 15:01 9368 xa-2.3.14-r0.apk 18-Mar-2023 14:09 64182 xa-doc-2.3.14-r0.apk 18-Mar-2023 14:09 15352 xandikos-0.2.11-r1.apk 15-Apr-2024 21:52 94336 xandikos-doc-0.2.11-r1.apk 15-Apr-2024 21:52 2135 xandikos-openrc-0.2.11-r1.apk 15-Apr-2024 21:52 2021 xandikos-pyc-0.2.11-r1.apk 15-Apr-2024 21:52 192567 xboard-4.9.1-r2.apk 01-Aug-2023 12:38 804010 xboard-doc-4.9.1-r2.apk 01-Aug-2023 12:38 174351 xboard-lang-4.9.1-r2.apk 01-Aug-2023 12:38 231361 xcaddy-0.3.5-r4.apk 07-Apr-2024 00:52 1320495 xcape-1.2-r0.apk 29-Nov-2021 21:04 7477 xcape-doc-1.2-r0.apk 29-Nov-2021 21:04 2908 xcompmgr-1.1.9-r0.apk 12-Nov-2022 20:48 15608 xcompmgr-doc-1.1.9-r0.apk 12-Nov-2022 20:48 2405 xcur2png-0.7.1-r0.apk 23-Apr-2024 08:03 9399 xcur2png-doc-0.7.1-r0.apk 23-Apr-2024 08:03 2728 xdg-desktop-portal-hyprland-1.3.1-r2.apk 15-Mar-2024 06:19 265478 xdg-desktop-portal-hyprland-doc-1.3.1-r2.apk 15-Mar-2024 06:19 2246 xdg-ninja-0.2.0.2-r0.apk 05-Feb-2024 06:11 71897 xdg-user-dirs-gtk-0.11-r2.apk 31-Oct-2023 06:57 15158 xdg-user-dirs-gtk-lang-0.11-r2.apk 31-Oct-2023 06:57 50804 xed-3.4.5-r0.apk 08-Jan-2024 09:44 1144196 xed-dev-3.4.5-r0.apk 08-Jan-2024 09:44 14397 xed-doc-3.4.5-r0.apk 08-Jan-2024 09:44 996682 xed-lang-3.4.5-r0.apk 08-Jan-2024 09:44 2141932 xed-python-3.4.5-r0.apk 08-Jan-2024 09:44 24791 xfce4-calculator-plugin-0.7.2-r0.apk 19-Apr-2023 22:25 65943 xfce4-calculator-plugin-lang-0.7.2-r0.apk 19-Apr-2023 22:25 22335 xfce4-diskperf-plugin-2.7.0-r0.apk 03-Aug-2023 05:00 17640 xfce4-diskperf-plugin-lang-2.7.0-r0.apk 03-Aug-2023 05:00 51356 xfce4-docklike-plugin-0.4.2-r0.apk 26-Dec-2023 23:00 78203 xfce4-docklike-plugin-lang-0.4.2-r0.apk 26-Dec-2023 23:00 36724 xfce4-fsguard-plugin-1.1.3-r0.apk 03-Aug-2023 07:35 54716 xfce4-fsguard-plugin-lang-1.1.3-r0.apk 03-Aug-2023 07:35 31086 xfce4-hamster-plugin-1.17-r0.apk 22-Feb-2022 08:21 39116 xfce4-hamster-plugin-lang-1.17-r0.apk 22-Feb-2022 08:21 5189 xfce4-mailwatch-plugin-1.3.1-r1.apk 31-Oct-2023 06:57 57861 xfce4-mailwatch-plugin-lang-1.3.1-r1.apk 31-Oct-2023 06:57 152575 xfce4-mixer-4.18.1-r2.apk 31-Oct-2023 06:57 91284 xfce4-mixer-doc-4.18.1-r2.apk 31-Oct-2023 06:57 2296 xfce4-mixer-lang-4.18.1-r2.apk 31-Oct-2023 06:57 59908 xfce4-mpc-plugin-0.5.3-r0.apk 02-Aug-2023 08:08 20930 xfce4-mpc-plugin-lang-0.5.3-r0.apk 02-Aug-2023 08:08 38523 xfce4-netload-plugin-1.4.1-r0.apk 04-Aug-2023 15:01 27195 xfce4-netload-plugin-lang-1.4.1-r0.apk 04-Aug-2023 15:01 45254 xfce4-panel-profiles-1.0.14-r1.apk 11-Dec-2023 08:36 57625 xfce4-panel-profiles-doc-1.0.14-r1.apk 11-Dec-2023 08:36 19631 xfce4-panel-profiles-lang-1.0.14-r1.apk 11-Dec-2023 08:36 45119 xfce4-places-plugin-1.8.3-r0.apk 16-Dec-2022 10:07 30797 xfce4-places-plugin-lang-1.8.3-r0.apk 16-Dec-2022 10:07 55026 xfce4-smartbookmark-plugin-0.5.2-r0.apk 03-Aug-2023 20:00 10468 xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk 03-Aug-2023 20:00 20936 xfce4-systemload-plugin-1.3.2-r0.apk 02-May-2023 15:20 32345 xfce4-systemload-plugin-lang-1.3.2-r0.apk 02-May-2023 15:20 39467 xfce4-timer-plugin-1.7.2-r0.apk 18-Apr-2023 20:18 26950 xfce4-timer-plugin-lang-1.7.2-r0.apk 18-Apr-2023 20:18 49742 xfce4-verve-plugin-2.0.3-r0.apk 07-Aug-2023 09:01 20019 xfce4-verve-plugin-lang-2.0.3-r0.apk 07-Aug-2023 09:01 41004 xfd-1.1.4-r0.apk 04-Dec-2022 09:17 13980 xfd-doc-1.1.4-r0.apk 04-Dec-2022 09:17 4779 xfe-1.46.1-r0.apk 05-Mar-2024 00:39 1405743 xfe-doc-1.46.1-r0.apk 05-Mar-2024 00:39 3827 xfe-lang-1.46.1-r0.apk 05-Mar-2024 00:39 546228 xfe-xfi-1.46.1-r0.apk 05-Mar-2024 00:39 281459 xfe-xfp-1.46.1-r0.apk 05-Mar-2024 00:39 255949 xfe-xfw-1.46.1-r0.apk 05-Mar-2024 00:39 299466 xgalaga-2.1.1.0-r1.apk 02-Nov-2022 08:49 319410 xgalaga-doc-2.1.1.0-r1.apk 02-Nov-2022 08:49 2343 xiccd-0.3.0_git20211219-r1.apk 16-Jun-2022 15:51 17216 xiccd-doc-0.3.0_git20211219-r1.apk 16-Jun-2022 15:51 3383 xisxwayland-2-r1.apk 29-Jul-2023 20:03 4164 xisxwayland-doc-2-r1.apk 29-Jul-2023 20:03 1754 xkb-switch-1.8.5-r0.apk 11-Dec-2021 18:07 19196 xkb-switch-doc-1.8.5-r0.apk 11-Dec-2021 18:07 1931 xlhtml-0.5.1-r0.apk 01-Apr-2024 18:10 11938 xlhtml-doc-0.5.1-r0.apk 01-Apr-2024 18:10 2299 xload-1.1.4-r0.apk 12-May-2022 08:40 7447 xload-doc-1.1.4-r0.apk 12-May-2022 08:40 3283 xmag-1.0.7-r1.apk 01-Aug-2023 12:38 17438 xmag-doc-1.0.7-r1.apk 01-Aug-2023 12:38 4592 xml2rfc-3.21.0-r0.apk 17-Apr-2024 13:39 361194 xml2rfc-pyc-3.21.0-r0.apk 17-Apr-2024 13:39 416283 xmp-4.2.0-r0.apk 20-Aug-2023 21:54 23564 xmp-doc-4.2.0-r0.apk 20-Aug-2023 21:54 5177 xmpp-dns-0.2.4-r16.apk 07-Apr-2024 00:52 1651772 xmppipe-0.16.0-r1.apk 01-Feb-2024 20:54 17046 xob-0.3-r0.apk 12-Feb-2023 00:20 10530 xob-doc-0.3-r0.apk 12-Feb-2023 00:20 5826 xone-src-0.3_git20230517-r0.apk 26-Jul-2023 06:23 44217 xonsh-0.15.1-r1.apk 15-Apr-2024 21:52 588566 xonsh-pyc-0.15.1-r1.apk 15-Apr-2024 21:52 1039500 xosview-1.24-r0.apk 25-Mar-2024 15:45 130457 xosview-doc-1.24-r0.apk 25-Mar-2024 15:45 12554 xplr-0.21.5-r2.apk 23-Jan-2024 06:10 1990514 xplr-doc-0.21.5-r2.apk 23-Jan-2024 06:10 73757 xremap-wlr-0.8.18-r0.apk 28-Mar-2024 20:31 1133999 xsane-0.999-r1.apk 16-Dec-2022 07:48 1593136 xsane-doc-0.999-r1.apk 16-Dec-2022 07:48 4172 xsane-lang-0.999-r1.apk 16-Dec-2022 07:48 450734 xsecurelock-1.9.0-r1.apk 11-Apr-2024 22:06 77223 xsecurelock-doc-1.9.0-r1.apk 11-Apr-2024 22:06 17912 xsoldier-1.8-r1.apk 02-Nov-2022 08:49 70958 xsoldier-doc-1.8-r1.apk 02-Nov-2022 08:49 2450 xtensor-0.24.7-r0.apk 12-Jan-2024 17:59 276416 xtitle-0.4.4-r1.apk 02-Nov-2022 08:49 6924 xtl-0.7.7-r0.apk 12-Jan-2024 17:59 112950 xva-img-1.4.2-r1.apk 04-Aug-2022 06:49 14299 xvidtune-1.0.4-r0.apk 05-Feb-2023 00:37 17514 xvidtune-doc-1.0.4-r0.apk 05-Feb-2023 00:37 4005 xvkbd-4.1-r2.apk 02-Nov-2022 08:49 307713 xvkbd-doc-4.1-r2.apk 02-Nov-2022 08:49 10765 xwaylandvideobridge-0.4.0-r1.apk 03-Mar-2024 23:25 51177 xwaylandvideobridge-lang-0.4.0-r1.apk 03-Mar-2024 23:25 11027 yaegi-0.16.1-r0.apk 03-May-2024 22:37 6905539 yamkix-0.10.0-r1.apk 15-Apr-2024 21:52 14302 yamkix-pyc-0.10.0-r1.apk 15-Apr-2024 21:52 11795 yarr-2.4-r5.apk 07-Apr-2024 00:52 3996164 yarr-doc-2.4-r5.apk 07-Apr-2024 00:52 7643 yarr-openrc-2.4-r5.apk 07-Apr-2024 00:52 1838 yaru-common-23.10.0-r0.apk 18-Apr-2024 02:08 4490 yaru-icon-theme-23.10.0-r0.apk 18-Apr-2024 02:08 36528572 yaru-icon-theme-bark-23.10.0-r0.apk 18-Apr-2024 02:08 1097409 yaru-icon-theme-blue-23.10.0-r0.apk 18-Apr-2024 02:08 1138317 yaru-icon-theme-magenta-23.10.0-r0.apk 18-Apr-2024 02:08 1114079 yaru-icon-theme-mate-23.10.0-r0.apk 18-Apr-2024 02:08 1306977 yaru-icon-theme-olive-23.10.0-r0.apk 18-Apr-2024 02:08 1110961 yaru-icon-theme-prussiangreen-23.10.0-r0.apk 18-Apr-2024 02:08 1124968 yaru-icon-theme-purple-23.10.0-r0.apk 18-Apr-2024 02:08 1160822 yaru-icon-theme-red-23.10.0-r0.apk 18-Apr-2024 02:08 1167771 yaru-icon-theme-sage-23.10.0-r0.apk 18-Apr-2024 02:08 1146905 yaru-icon-theme-viridian-23.10.0-r0.apk 18-Apr-2024 02:08 1122382 yaru-schemas-23.10.0-r0.apk 18-Apr-2024 02:08 1622 yaru-shell-23.10.0-r0.apk 18-Apr-2024 02:08 763236 yaru-sounds-23.10.0-r0.apk 18-Apr-2024 02:08 692086 yaru-theme-23.10.0-r0.apk 18-Apr-2024 02:08 876208 yaru-theme-bark-23.10.0-r0.apk 18-Apr-2024 02:08 791229 yaru-theme-blue-23.10.0-r0.apk 18-Apr-2024 02:08 794067 yaru-theme-hdpi-23.10.0-r0.apk 18-Apr-2024 02:08 79807 yaru-theme-magenta-23.10.0-r0.apk 18-Apr-2024 02:08 789933 yaru-theme-mate-23.10.0-r0.apk 18-Apr-2024 02:08 752938 yaru-theme-olive-23.10.0-r0.apk 18-Apr-2024 02:08 787435 yaru-theme-prussiangreen-23.10.0-r0.apk 18-Apr-2024 02:08 787593 yaru-theme-purple-23.10.0-r0.apk 18-Apr-2024 02:08 785273 yaru-theme-red-23.10.0-r0.apk 18-Apr-2024 02:08 788447 yaru-theme-sage-23.10.0-r0.apk 18-Apr-2024 02:08 791286 yaru-theme-viridian-23.10.0-r0.apk 18-Apr-2024 02:08 788539 yass-2.5.0-r0.apk 15-Jan-2024 21:00 14775757 yazi-0.2.5-r0.apk 28-Apr-2024 22:24 4497623 yazi-bash-completion-0.2.5-r0.apk 28-Apr-2024 22:24 1865 yazi-cli-0.2.5-r0.apk 28-Apr-2024 22:24 421703 yazi-doc-0.2.5-r0.apk 28-Apr-2024 22:24 2038 yazi-fish-completion-0.2.5-r0.apk 28-Apr-2024 22:24 1559 yazi-zsh-completion-0.2.5-r0.apk 28-Apr-2024 22:24 1880 ydcv-0.7-r7.apk 15-Apr-2024 21:52 7639 ydcv-pyc-0.7-r7.apk 15-Apr-2024 21:52 10595 ydcv-zsh-completion-0.7-r7.apk 15-Apr-2024 21:52 1961 yices2-2.6.4-r0.apk 10-Feb-2023 05:17 2865481 yices2-dev-2.6.4-r0.apk 10-Feb-2023 05:17 41772 yices2-libs-2.6.4-r0.apk 10-Feb-2023 05:17 1046306 yodl-4.02.00-r1.apk 02-Nov-2022 08:49 154577 yodl-doc-4.02.00-r1.apk 02-Nov-2022 08:49 60136 yoshimi-2.3.2-r0.apk 18-Apr-2024 13:54 6174543 yoshimi-doc-2.3.2-r0.apk 18-Apr-2024 13:54 4673077 yosys-0.36-r3.apk 22-Apr-2024 18:59 17504570 yosys-dev-0.36-r3.apk 22-Apr-2024 18:59 104684 youtube-tui-0.8.0-r0.apk 26-Oct-2023 13:55 1463123 youtube-viewer-3.11.1-r0.apk 14-Mar-2024 08:40 85213 youtube-viewer-doc-3.11.1-r0.apk 14-Mar-2024 08:40 41490 youtube-viewer-gtk-3.11.1-r0.apk 14-Mar-2024 08:40 174824 ytt-0.47.0-r2.apk 07-Apr-2024 00:52 4223639 yubikey-agent-0.1.6-r4.apk 07-Apr-2024 00:52 1686127 z-1.12-r0.apk 10-Dec-2023 22:36 4450 z-doc-1.12-r0.apk 10-Dec-2023 22:36 3742 zafiro-icon-theme-1.3-r0.apk 05-Feb-2023 02:03 20169045 zapret-0.0.0_git20220125-r0.apk 02-Feb-2022 11:47 94891 zapret-doc-0.0.0_git20220125-r0.apk 02-Feb-2022 11:47 100625 zapret-openrc-0.0.0_git20220125-r0.apk 02-Feb-2022 11:47 1920 zarchive-0.1.2-r2.apk 07-Aug-2023 20:54 17403 zarchive-dev-0.1.2-r2.apk 07-Aug-2023 20:54 6654 zarchive-libs-0.1.2-r2.apk 07-Aug-2023 20:54 26433 zfs-src-2.2.1-r0.apk 11-Jan-2024 22:18 34047512 zile-2.6.2-r0.apk 20-Sep-2022 00:56 131764 zile-doc-2.6.2-r0.apk 20-Sep-2022 00:56 16206 zita-njbridge-0.4.8-r1.apk 02-Nov-2022 08:49 31900 zita-njbridge-doc-0.4.8-r1.apk 02-Nov-2022 08:49 5096 zita-resampler-1.10.1-r0.apk 18-Mar-2023 16:59 26041 zita-resampler-dev-1.10.1-r0.apk 18-Mar-2023 16:59 3177 zita-resampler-doc-1.10.1-r0.apk 18-Mar-2023 16:59 3886 znc-backlog-0_git20210503-r7.apk 09-Nov-2023 08:43 22472 znc-clientbuffer-1.0.48-r7.apk 09-Nov-2023 08:43 19341 znc-playback-0_git20210503-r7.apk 09-Nov-2023 08:43 21149 znc-push-0_git20220823-r7.apk 09-Nov-2023 08:43 97325 zot-2.0.4-r0.apk 03-May-2024 22:49 61912387 zot-cli-2.0.4-r0.apk 03-May-2024 22:49 7923318 zot-cli-bash-completion-2.0.4-r0.apk 03-May-2024 22:49 4913 zot-cli-fish-completion-2.0.4-r0.apk 03-May-2024 22:49 4150 zot-cli-zsh-completion-2.0.4-r0.apk 03-May-2024 22:49 3862 zot-doc-2.0.4-r0.apk 03-May-2024 22:49 8579 zot-exporter-2.0.4-r0.apk 03-May-2024 22:49 4373178 zot-openrc-2.0.4-r0.apk 03-May-2024 22:49 1911 zrepl-0.6.1-r3.apk 07-Apr-2024 00:52 6422440 zrepl-bash-completion-0.6.1-r3.apk 07-Apr-2024 00:52 4313 zrepl-openrc-0.6.1-r3.apk 07-Apr-2024 00:52 1505 zrepl-zsh-completion-0.6.1-r3.apk 07-Apr-2024 00:52 1737 zsh-fast-syntax-highlighting-1.55_git20230705-r..> 17-Dec-2023 12:11 76208 zsh-fast-syntax-highlighting-doc-1.55_git202307..> 17-Dec-2023 12:11 6917 zsh-fzf-tab-0_git20220331-r0.apk 27-May-2022 16:35 16235 zsh-histdb-skim-0.8.6-r0.apk 29-May-2023 20:35 805665 zsh-manydots-magic-0_git20230607-r1.apk 11-Aug-2023 23:39 2666 zutty-0.14-r0.apk 27-Oct-2023 05:37 155274 zycore-1.5.0-r0.apk 05-Apr-2024 22:35 22330 zycore-dev-1.5.0-r0.apk 05-Apr-2024 22:35 39069 zycore-doc-1.5.0-r0.apk 05-Apr-2024 22:35 403324